《集成电路设计CAD/EDA工具实用教程》PDF下载

  • 购买积分:12 如何计算积分?
  • 作  者:韩雁,韩晓霞,丁扣宝编著
  • 出 版 社:北京:机械工业出版社
  • 出版年份:2010
  • ISBN:9787111318194
  • 页数:347 页
图书介绍:本书基于IC设计实例,系统全面地介绍了模拟集成电路和数字集成电路设计所需CAD/EDA工具的基础知识和使用方法。

第一部分 模拟集成电路设计工具及使用 3

第1章 典型电路仿真工具软件 3

1.1 Cadence电路仿真工具包 3

1.1.1 设计环境简介 3

1.1.2 电路图输入工具Virtuoso Schematic Composer 6

1.1.3 仿真环境工具Analog Design Environment 7

1.1.4 仿真结果的显示及处理 11

1.1.5 建立子模块 11

1.1.6 设计实例——D触发器 13

1.2 Hspice电路仿真工具 16

1.2.1 Hspice简介 16

1.2.2*.sp文件的生成 16

1.2.3 运行与仿真 17

1.3 UltraSim仿真技术 19

1.3.1 UltraSim简介 19

1.3.2 仿真环境设置 19

1.4 芯片封装的建模与带封装信息的仿真 23

1.4.1 射频IC封装简介 23

1.4.2 PKG软件的具体使用 24

第2章 模拟集成电路设计及仿真实例 29

2.1 电压基准源设计及仿真 29

2.1.1 电压基准源简介 29

2.1.2 电压基准源分类 29

2.1.3 实现带隙基准源的原理 30

2.1.4 基准源启动电路 32

2.1.5 基准源噪声 33

2.1.6 基准源输出驱动 33

2.1.7 基准源计算机仿真 34

2.1.8 基准源的版图设计 37

2.2 CMOS集成电路噪声分析及仿真 38

2.2.1 噪声类型 39

2.2.2 噪声分析方法 41

2.2.3 连续时间系统的噪声仿真 42

2.3 开关电容电路仿真 43

2.3.1 开关电容电路简介 43

2.3.2 开关电容电路的精度 46

2.3.3 使用双相无交叠时钟的开关电容电路的分析方法 46

2.3.4 开关电容电路的Cadence仿真方法 48

2.3.5 开关电容电路频率响应仿真 49

2.3.6 开关电容电路的噪声仿真 53

第3章 版图绘制及其工具软件 61

3.1 典型CMOS工艺流程简介 61

3.2 设计规则简介 64

3.3 Virtuoso软件简介及使用 66

3.3.1 Virtuoso软件启用 66

3.3.2 Virtuoso快捷键的使用 69

3.3.3 设计实例——反相器版图绘制 70

3.3.4 PDK简介 73

3.4 Laker软件简介及使用 76

3.4.1 Laker使用时需要的文件 77

3.4.2 Laker软件启用及主窗口 77

3.4.3 Laker基本版图编辑功能 78

3.4.4 Laker特有高级版图编辑功能 81

3.4.5 原理图驱动的版图编辑 83

3.4.6 设计实例——设计规则驱动的版图设计 83

3.4.7 设计实例——利用Mcell完成一个二输入与非门的版图设计 85

3.5 版图设计中的相关主题 88

3.5.1 天线效应 88

3.5.2 Dummy的设计 89

3.5.3 Guard Ring的设计 91

3.5.4 Match的设计 92

第4章 版图验证与后仿真 94

4.1 版图验证与后仿真简介 94

4.2 Diva验证工具 95

4.2.1 DivaDRC规则文件 95

4.2.2 Diva版图提取文件 98

4.2.3 LVS文件的介绍 99

4.2.4 寄生参数提取文件 100

4.2.5 设计实例——非门的版图验证 101

4.3 Calibre验证工具 106

4.3.1 Calibre规则文件 106

4.3.2 Calibre使用方法 108

4.3.3 数模混合电路LVS的操作方法 114

第5章 设计所需规则文件的详细说明 117

5.1 完整的Diva DRC Extract LVS规则文件 117

5.1.1 DivaDRC规则文件 117

5.1.2 Diva Extract规则文件 119

5.1.3 Diva LVS规则文件 120

5.2 Diva层次处理语句的图文解释 120

5.2.1 逻辑命令 121

5.2.2 关系命令 122

5.2.3 选择命令 126

5.2.4 尺寸命令 127

5.2.5 层生成命令 128

5.2.6 存储命令 129

5.3 Diva中DRC和寄生参数提取语句 129

5.3.1 DivaDRC语句 129

5.3.2 Diva寄生参数提取语句 132

第二部分 数字集成电路设计工具及使用 138

第6章 系统级建模与数模混合仿真 138

6.1 Matlab简介 139

6.2 Matlab的Toolboxes 140

6.2.1 数字信号处理 140

6.2.2 滤波器设计 140

6.2.3 Link For ModelSim 141

6.3 Matlab的编程 145

6.4 Simulink仿真基础 147

6.4.1 Simulink简介 147

6.4.2 Simulink的模块 147

6.4.3 Simulink仿真参数的设定 148

6.4.4 设计实例——Simulink操作与几个常用数字系统模型的仿真 149

6.5 Verilog-A简介 154

6.6 Verilog-A编程 154

6.6.1 基本语法 155

6.6.2 基本表达式 156

6.6.3 模拟运算符 157

6.6.4 Verilog-A仿真 157

6.7 Verilog-A建模实例 158

6.7.1 反相器 158

6.7.2 利用Cadence中的向导产生模拟模块 162

6.8 Spectre-verilog混合信号仿真 164

6.8.1 Spectre-verilog仿真简介 164

6.8.2 创建模拟模块 164

6.8.3 创建数字模块 165

6.8.4 设置仿真配置文件 166

6.8.5 设置和检查模块划分 167

6.8.6 设置数模接口 169

6.8.7 设置仿真菜单及仿真结果 170

第7章 数字电路设计与Verilog 171

7.1 HDL设计方法学 171

7.1.1 数字电路设计方法 171

7.1.2 硬件描述语言 171

7.1.3 设计方法学简介 172

7.1.4 Verilog HDL简介 173

7.2 Verilog HDL建模 174

7.2.1 模块 174

7.2.2 时延 177

7.2.3 三种建模方式 177

7.3 Verilog HDL基本语法 181

7.3.1 标识符 181

7.3.2 注释 181

7.3.3 格式 182

7.3.4 数字值集合 182

7.3.5 数据类型 184

7.3.6 运算符和表达式 185

7.3.7 条件语句 189

7.3.8 case语句 191

7.4 结构建模 191

7.4.1 模块定义 191

7.4.2 模块端口 192

7.4.3 实例化语句 192

7.5 数据流建模 194

7.5.1 连续赋值语句 194

7.5.2 阻塞赋值语句 195

7.5.3 非阻塞赋值语句 196

7.5.4 设计实例——频率计数器 197

7.6 行为建模 198

7.6.1 行为建模简介 198

7.6.2 顺序语句块 198

7.6.3 过程赋值语句 198

7.7 可综合设计 200

7.7.1 设计准则 200

7.7.2 进程划分准则 201

7.7.3 可综合子集 201

7.7.4 可综合设计中的组合电路设计 201

7.7.5 可综合设计中的时序电路设计 202

第8章 硬件描述语言的软件仿真与FPGA硬件验证 203

8.1 ModelSim使用 203

8.1.1 ModelSim启动 204

8.1.2 ModelSim仿真流程 204

8.1.3 编译工艺资源库 207

8.1.4 调试 207

8.1.5 ModelSim仿真小结 208

8.2 NC-Verilog使用 208

8.2.1 ncvlog命令 208

8.2.2 ncelab命令 210

8.2.3 ncsim命令 211

8.2.4 NC-Verilog仿真小结 212

8.3 用Debussy调试仿真结果 212

8.4 HDL仿真总结 214

8.5 FPGA硬件验证 214

8.5.1 FPGA基本组成 215

8.5.2 FPGA设计流程 216

8.5.3 FPGA下载配置 219

第9章 逻辑综合与Design Compiler 230

9.1 逻辑综合 230

9.2 用Design Compiler综合电路 231

9.2.1 Design Analyzer的启动 232

9.2.2 设计读入 233

9.2.3 链接 236

9.2.4 实例唯一化 236

9.2.5 设计环境 238

9.2.6 设计约束 243

9.2.7 设计的逻辑综合 249

9.2.8 逻辑综合结果的分析 250

9.2.9 逻辑综合结果的保存 253

9.2.10 时序约束文件的导出 254

9.3 Synplify的使用方法 254

9.3.1 Synplify概述 254

9.3.2 Synplify设计流程 254

9.3.3 Synplify文件类型总结 257

第10章 自动布局布线及Astro 259

10.1 Astro简介 259

10.2 数据准备 259

10.2.1 库文件 260

10.2.2 工艺文件 262

10.2.3 设计文件 262

10.3 利用Astro进行布局布线的设计流程 264

10.3.1 工具启动 264

10.3.2 创建设计库 264

10.3.3 读入网表文件 266

10.3.4 打开设计库和设计单元 267

10.3.5 布局规划 268

10.3.6 布局 276

10.3.7 时钟树综合 283

10.3.8 布线前的电源/地线检查 288

10.3.9 布线 289

10.3.10 可制造性设计处理 294

10.3.11 版图验证 295

10.3.12 数据输出 296

第11章 数字集成电路设计的验证方法学 298

11.1 OVM验证方法学介绍 298

11.2 验证工具QuestaSim软件介绍 301

11.3 使用OVM搭建验证环境 307

11.4 随机验证 318

第12章 可测性设计及可测性设计软件使用 323

12.1 可测性设计基础 323

12.1.1 测试 323

12.1.2 可测性设计 323

12.1.3 故障模型 323

12.1.4 自动测试矢量生成 325

12.1.5 可测性设计的常用方法 326

12.2 使用DFTC进行可测性设计 328

12.2.1 Synopsys的DFT流程 328

12.2.2 DFT扫描链插入 329

12.2.3 Synopsys Adaptive Scan压缩 332

12.3 使用TetraMAX进行ATPG生成 332

12.3.1 TetraMAX的图形界面 333

12.3.2 TetraMAX的基本流程 333

12.3.3 ATPG测试向量生成 334

12.4 DFT设计实例 336

12.4.1 设计代码编写 337

12.4.2 综合并插入扫描链的过程 338

12.4.3 ATPG自动测试矢量生成 341

参考文献 346