《EDA技术及实例开发教程 VH&VERILOG版》PDF下载

  • 购买积分:15 如何计算积分?
  • 作  者:陈炳权,曾庆立主编
  • 出 版 社:中南大学出版社
  • 出版年份:2017
  • ISBN:9787548727644
  • 页数:476 页
图书介绍:本书主要内容包括以下9个方面:1、绪论;2、硬件描述语言(补充Verilog语言);3、常见的数字电路设计举例;4、PLD;5、EDA工具软件;6、EDA实验开发系统;7、EDA实验;8、课程设计实例;9、最小系统设计(完整的电子产品设计全过程)。

第1章 绪论 1

1.1 EDA技术的概念及应用范畴 1

1.2 EDA技术的发展史 2

1.3 EDA设计流程 3

1.4 EDA技术的主要内容及主要的EDA厂商 7

1.4.1 EDA技术的主要内容 7

1.4.2主要的EDA厂商 11

1.5常用的EDA工具 12

1.6 EDA技术的发展趋势 14

1.6.1可编程器件的发展趋势 14

1.6.2软件开发工具的发展趋势 14

1.6.3输入方式的发展趋势 15

1.7 EDA技术的应用 16

1.7.1 EDA技术的应用形式 16

1.7.2 EDA技术的应用场合 16

本章小结 18

习题 18

第2章VHDL硬件描述语言 19

2.1 VHDL概述 19

2.1.1常用硬件描述语言简介 19

2.1.2 VHDL及其优点 20

2.1.3 VHDL程序设计约定 21

2.2 VHDL简单程序设计举例 21

2.3 VHDL程序基本结构 25

2.3.1实体 26

2.3.2结构体 30

2.3.3库 32

2.3.4程序包 34

2.3.5配置 36

2.4 VHDL语言要素 37

2.4.1文字规则 38

2.4.2数据对象 39

2.4.3数据类型 41

2.4.4运算操作符 51

2.4.5描述风格 57

2.5 VHDL顺序语句 61

2.5.1赋值语句 61

2.5.2转向控制语句 64

2.5.3等待语句 70

2.5.4子程序及其调用 73

2.5.5返回语句 81

2.5.6空操作语句 81

2.5.7其他语句 82

2.6 VHDL并行语句 88

2.6.1进程语句 89

2.6.2块语句 91

2.6.3并行信号赋值语句 94

2.6.4并行过程调用语句 96

2.6.5元件例化语句 98

2.6.6生成语句 100

2.6.7类属映射语句 103

本章小结 105

习题 105

第3章Verilog硬件描述语言 110

3.1Verilog HDL简介 110

3.1.1什么是Verilog HDL 110

3.1.2 Verilog HDL历史发展 110

3.1.3 Verilog HDL主要功能 110

3.2 Verilog HDL指南 112

3.2.1模块 112

3.2.2时延 113

3.2.3数据流描述方式 113

3.2.4行为描述方式 114

3.2.5结构化描述形式 115

3.2.6混合设计描述方式 117

3.2.7设计模拟 117

3.3 Verilog HDL语言要素 120

3.3.1标识符 120

3.3.2注释 120

3.3.3格式 120

3.3.4系统任务和函数 120

3.3.5编译指令 125

3.3.6值集合 128

3.3.7数据类型 130

3.3.8参数 136

3.4 Verilog HDL表达式 137

3.4.1操作数 137

3.4.2操作符 139

3.4.3表达式种类 145

3.5门电平模型化 145

3.5.1内置基本门 145

3.5.2多输入门 146

3.5.3多输出门 146

3.5.4三态门 146

3.5.5上拉、下拉电阻 147

3.5.6 MOS开关 147

3.5.7双向开关 148

3.5.8门时延 148

3.5.9实例数组 149

3.5.10隐式线网 149

3.5.11简单示例 150

3.5.12 2-4解码器举例 151

3.5.13主从触发器举例 151

3.5.14奇偶电路举例 152

3.6 Verilog HDL的编码风格 152

3.6.1一般的命名规则 152

3.6.2文件格式组织 153

3.6.3端口定义 153

3.6.4文件头部 154

3.6.5注释 155

3.6.6错误代码举例 155

3.7设计举例 157

3.7.1简单的组合逻辑设计 157

3.7.2简单时序逻辑电路的设计 158

3.7.3利用条件语句实现较复杂的时序逻辑电路 159

3.7.4设计时序逻辑时采用阻塞赋值与非阻塞赋值的区别 160

3.7.5用always块实现较复杂的组合逻辑电路 162

3.7.6在Verilog HDL中使用函数 164

3.7.7在Verilog HDL中使用任务 165

3.7.8利用有限状态机进行复杂时序逻辑的设计 167

3.7.9利用状态机的嵌套实现层次结构化设计 170

3.7.10通过模块之间的调用实现自顶向下的设计 174

本章小结 178

习题 178

第4章CPLD与FPGA结构及应用 180

4.1 PLD概述 180

4.2 PLD结构及特点 182

4.3 FPGA结构、原理及其产品 183

4.3.1 FPGA结构 183

4.3.2 FPGA工作原理 186

4.3.3 FPGA的配置模式 187

4.3.4 FPGA系列产品 190

4.4 CPLD结构、原理及其产品 191

4.4.1 CPLD结构特点 191

4.4.2 CPLD工作原理 192

4.4.3 CPLD系列产品 193

4.5在系统可编程(ISP)逻辑器件 193

4.5.1 ISP逻辑器件结构特点 193

4.5.2 ispLSI/pLSI系列器件及特点 195

4.6 FPGA/CPLD在电子产品开发中的应用选择 196

4.6.1 FPGA和CPLD的性能比较 197

4.6.2 FPGA和CPLD的开发应用选择 197

4.7 FPGA/CPLD器件的标识含义 199

4.8 FPGA/CPLD主要生产商 200

4.8.1 Altera公司的PLD产品 201

4.8.2 Xilinx公司的PLD产品 201

4.8.3 Lattice公司的PLD产品 202

本章小结 203

习题 203

第5章EDA工具软件的使用及设计流程 204

5.1 QuartusⅡ使用及设计流程 204

5.1.1 QuartusⅡ特点 204

5.1.2 QuartusⅡ图形编辑输入法 204

5.1.3 QuartusⅡ文本编辑输入法 212

5.2 QuartusⅡ设计流程举例 212

5.2.1创建工程和编辑设计文件 213

5.2.2编译 216

5.2.3正弦信号数据ROM定制 217

5.2.4仿真 219

5.2.5测试 220

5.2.6配置器件 223

5.3 Max+PlusⅡ使用及设计流程 224

5.3.1 Max+PlusⅡ的特点 224

5.3.2 Max+PlusⅡ功能 225

5.3.3 Max+PlusⅡ设计过程 227

5.3.4原理图输入设计方法 230

5.3.5 VHDL文本输入设计方法 242

本章小结 247

习题 247

第6章 基本电路的VHDL实现 248

6.1基本逻辑电路的VHDL设计 248

6.1.1组合逻辑电路 248

6.1.2时序电路设计 254

6.2存储器设计的VHDL设计 264

6.2.1 ROM 264

6.2.2 SRAM 265

6.2.3 FIFO 266

6.3状态机设计的VHDL设计 269

6.3.1状态机的基本结构和功能 269

6.3.2一般状态机的VHDL设计 269

6.3.3摩尔(Moore)状态机的VHDL设计 271

6.3.4米立(Mealy)状态机的VHDL设计 279

6.4 VHDL描述风格 281

6.4.1行为描述 281

6.4.2数据流描述 281

6.4.3结构描述 282

本章小结 284

习题 284

第7章EDA实验开发系统 285

7.1 GW48型EDA实验开发系统原理与使用 285

7.1.1系统主要性能及特点 285

7.1.2系统使用注意事项 285

7.1.3系统工作原理 286

7.1.4系统主板结构与使用方法 288

7.2 GW48实验电路结构图 290

7.2.1实验电路信号资源符号说明 290

7.2.2各实验电路结构图特点与适用范围 291

7.3 GW48系统结构图信号名与芯片引脚对照表 301

7.4 GW48型EDA实验开发系统使用示例 305

本章小结 309

习题 309

第8章EDA技术实验 310

8.1实验一 1位全加器原理图输入设计 310

8.2实验二 1位全加器VHDL文本输入设计 312

8.3实验三 有时钟使能的两位十进制计数器VHDL文本输入设计 315

8.4实验四 4位十进制频率计VHDL文本输入设计 317

8.5实验五 数字秒表VHDL文本输入设计 322

8.6实验六 交通灯信号控制器VHDL文本输入设计 326

8.7 EDA实验报告范例 335

本章小结 340

习题 340

第9章 基于VHDL课程设计实例 341

9.1多路彩灯控制器的设计 341

9.1.1系统设计要求 341

9.1.2系统设计方案 341

9.1.3主要模块设计 342

9.1.4 VHDL源程序 343

9.1.5系统仿真与分析 346

9.2数字式竞赛抢答器 349

9.2.1系统设计要求 349

9.2.2系统设计方案 349

9.2.3主要模块设计 350

9.2.4 VHDL源程序 351

9.2.5系统仿真与分析 357

9.3电梯控制器 359

9.3.1系统设计要求 360

9.3.2系统设计方案 360

9.3.3主要模块设计 360

9.3.4 VHDL源程序 362

9.3.5仿真结果与分析 370

9.4出租车计费器 371

9.4.1系统设计要求 371

9.4.2系统设计方案 371

9.4.3主要模块设计 372

9.4.4 VHDL源程序 373

9.4.5仿真结果与分析 381

9.5微波炉控制器 383

9.5.1系统设计要求 383

9.5.2系统设计方案 383

9.5.3主要模块设计 384

9.5.4 VHDL源程序 385

9.5.5系统仿真与分析 392

9.6 FIR滤波器 394

9.6.1系统设计要求 395

9.6.2系统设计方案 395

9.6.3主要模块设计 397

9.6.4 VHDL编程 398

9.6.5系统仿真与分析 410

9.7直接数字频率合成器 412

9.7.1系统设计要求 412

9.7.2系统设计方案 413

9.7.3主要模块设计 414

9.7.4 VHDL编程 421

9.7.5系统仿真与分析 427

9.8自动售货机 431

9.8.1设计要求 432

9.8.2模块分析 432

9.8.3源程序和仿真 432

本章小结 438

习题 438

第10章 经典实例开发举例 439

10.1系统设计方法 439

10.2最小系统整体结构 439

10.3硬件连接及原理 440

10.3.1最小系统的电源电路设计 440

10.3.2复位电路 441

10.3.3时钟电路 441

10.3.4 JTAG接口电路 441

10.3.5并行高速RAM扩展电路(IS61LV25616) 442

10.3.6串行I2C总线的EEPROM扩展电路(24LC16B) 442

10.3.7 LED显示及其驱动电路 443

10.3.8 I/O扩展部分 444

10.3.9 CPLD与PC机的串行通信电路 444

10.3.10按键接口电路 445

10.4系统软件设计 446

10.4.1数码管扫描显示程序 446

10.4.2串口通信 447

10.4.3 RAM读写 451

10.4.4 I2C总线EEPROM读写 455

本章小结 475

习题 475

参考文献 476