《SystemVerilog验证》PDF下载

  • 购买积分:13 如何计算积分?
  • 作  者:ChrisSpear著
  • 出 版 社:北京:科学出版社
  • 出版年份:2009
  • ISBN:9787030253064
  • 页数:365 页
图书介绍:本书的主旨是教会读者如何利用SystemVerilog新的测试平台的新结构和新方法,不需要读者事先具备面向对象的编程或者有约束随机测试的系统知识。本书涵盖SystemVerilog验证结构,例如类(classes)、程序块(programblocks)、C接口、随机化以及功能覆盖。本书还综述一些常用的设计专题,比如接口和阵列类型,书中给出了大量的代码实例和详尽的解释。作者基于Synopsys公司的课程、培训材料,用SystemVerilog工具等开发了Vera,RVM,以及OOP,作者逐章建立了这些概念,并在最后一章利用前面几章的结果,给出了详细的测试代码。本书集中探讨利用SystemVerilog语言的能力,验证设计的正确性。

第1章 验证导论 1

1.1 验证流程 2

1.1.1 不同层次上的测试 2

1.1.2 验证计划 3

1.2 验证方法学 3

1.3 基本测试平台的功能 4

1.4 定向测试 4

1.5 方法学基础 5

1.6 受约束的随机激励 6

1.7 你的随机化对象是什么 7

1.7.1 设备和环境配置 7

1.7.2 输入数据 8

1.7.3 协议异常、错误和违例 8

1.7.4 时延和同步 9

1.7.5 并行的随机测试 9

1.8 功能覆盖率 9

1.8.1 从功能覆盖率到激励的反馈 10

1.9 测试平台的构件 11

1.10 分层的测试平台 11

1.10.1 不分层的测试平台 12

1.10.2 信号和命令层 13

1.10.3 功能层 14

1.10.4 场景层 14

1.10.5 测试的层次和功能覆盖率 15

1.11 建立一个分层的测试平台 16

1.11.1 创建一个简单的驱动器 16

1.12 仿真环境的阶段 16

1.13 最大限度的代码重用 17

1.14 测试平台的性能 17

1.15 结束语 18

第2章 数据类型 19

2.1 内建数据类型 19

2.1.1 逻辑(logic)类型 19

2.1.2 双状态数据类型 20

2.2 定宽数组 21

2.2.1 定宽数组的声明和初始化 21

2.2.2 常量数组 22

2.2.3 基本的数组操作——for和foreach 22

2.2.4 基本的数组操作——复制和比较 24

2.2.5 同时使用位下标和数组下标 25

2.2.6 合并数组 25

2.2.7 合并数组的例子 26

2.2.8 合并数组和非合并数组的选择 27

2.3 动态数组 27

2.4 队列 28

2.5 关联数组 30

2.6 链表 32

2.7 数组的方法 32

2.7.1 数组缩减方法 33

2.7.2 数组定位方法 34

2.7.3 数组的排序 36

2.7.4 使用数组定位方法建立记分板 36

2.8 选择存储类型 37

2.8.1 灵活性 37

2.8.2 存储器用量 37

2.8.3 速度 38

2.8.4 排序 38

2.8.5 选择最优的数据结构 39

2.9 使用typedef创建新的类型 39

2.10 创建用户自定义结构 40

2.10.1 使用struct创建新类型 41

2.10.2 对结构进行初始化 41

2.10.3 创建可容纳不同类型的联合 41

2.10.4 合并结构 42

2.10.5 在合并结构和非合并结构之间进行选择 42

2.11 类型转换 42

2.11.1 静态转换 43

2.11.2 动态转换 43

2.11.3 流操作符 43

2.12 枚举类型 45

2.12.1 定义枚举值 46

2.12.2 枚举类型的子程序 46

2.12.3 枚举类型的转换 47

2.13 常量 48

2.14 字符串 48

2.15 表达式的位宽 49

2.16 结束语 50

第3章 过程语句和子程序 51

3.1 过程语句 51

3.2 任务、函数以及void函数 52

3.3 任务和函数概述 53

3.3.1 在子程序中去掉begin...end 53

3.4 子程序参数 53

3.4.1 C语言风格的子程序参数 53

3.4.2 参数的方向 54

3.4.3 高级的参数类型 54

3.4.4 参数的缺省值 56

3.4.5 采用名字进行参数传递 57

3.4.6 常见的代码错误 57

3.5 子程序的返回 58

3.5.1 返回(return)语句 58

3.5.2 从函数中返回一个数组 59

3.6 局部数据存储 60

3.6.1 自动存储 60

3.6.2 变量的初始化 60

3.7 时间值 61

3.7.1 时间单位和精度 61

3.7.2 时间参数 62

3.7.3 时间和变量 62

3.7.4 $time与$realtime的对比 63

3.8 结束语 63

第4章 连接设计和测试平台 65

4.1 将测试平台和设计分开 65

4.1.1 测试平台和DUT之间的通信 66

4.1.2 与端口的通信 66

4.2 接口 68

4.2.1 使用接口来简化连接 68

4.2.2 连接接口和端口 70

4.2.3 使用modport将接口中的信号分组 70

4.2.4 在总线设计中使用modport 71

4.2.5 创建接口监视模块 71

4.2.6 接口的优缺点 72

4.2.7 更多例子和信息 73

4.3 激励时序 73

4.3.1 使用时钟块控制同步信号的时序 73

4.3.2 接口中的logic和wire对比 74

4.3.3 Verilog的时序问题 75

4.3.4 测试平台—设计间的竞争状态 76

4.4.4 程序块(Program Block)和时序区域(Timing Region) 76

4.3.6 仿真的结束 78

4.3.7 指定设计和测试平台之间的延时 78

4.4 接口的驱动和采样 79

4.4.1 接口同步 79

4.4.2 接口信号采样 79

4.4.3 接口信号驱动 80

4.4.4 通过时钟块驱动接口信号 81

4.4.5 接口中的双向信号 82

4.4.6 为什么在程序(program)中不允许使用always块 83

4.4.7 时钟发生器 83

4.5 将这些模块都连接起来 84

4.5.1 端口列表中的接口必须连接 85

4.6 顶层作用域 85

4.7 程序——模块交互 87

4.8 SystemVerilog断言 88

4.8.1 立即断言(Immediate Assertion) 88

4.8.2 定制断言行为 88

4.8.3 并发断言 89

4.8.4 断言的进一步探讨 90

4.9 四端口的ATM路由器 90

4.9.1 使用端口的ATM路由器 90

4.9.2 使用端口的ATM顶层网单 91

4.9.3 使用接口简化连接 94

4.9.4 ATM接口 94

4.9.5 使用接口的ATM路由器模型 95

4.9.6 使用接口的ATM顶层网单 95

4.9.7 使用接口的ATM测试平台 96

4.10 ref端口的方向 97

4.11 仿真的结束 97

4.12 LC3取指模块的定向测试(directed test) 97

4.13 结论 102

第5章 面向对象编程基础 103

5.1 概述 103

5.2 考虑名词,而非动词 103

5.3 编写第一个类(Class) 104

5.4 在哪里定义类 105

5.5 OOP术语 105

5.6 创建新对象 106

5.6.1 没有消息就是好消息 106

5.6.2 定制构造函数(Constructor) 106

5.6.3 将声明和创建分开 108

5.6.4 new()和new〔〕的区别 108

5.6.5 为对象创建一个句柄 108

5.7 对象的解除分配(deallocation) 109

5.8 使用对象 110

5.9 静态变量和全局变量 111

5.9.1 简单的静态变量 111

5.9.2 通过类名访问静态变量 112

5.9.3 静态变量的初始化 112

5.9.4 静态方法 112

5.10 类的方法 114

5.11 在类之外定义方法 115

5.12 作用域规则 116

5.12.1 this是什么 118

5.13 在一个类内使用另一个类 119

5.13.1 我的类该做成多大 120

5.13.2 编译顺序的问题 121

5.14 理解动态对象 121

5.14.1 将对象传递给方法 121

5.14.2 在任务中修改句柄 123

5.14.3 在程序中修改对象 123

5.14.4 句柄数组 124

5.15 对象的复制 125

5.15.1 使用new操作符复制一个对象 125

5.15.2 编写自己的简单复制函数 126

5.15.3 编写自己的深层复制函数 127

5.15.4 使用流操作符从数组到打包对象,或者从打包对象到数组 128

5.16 公有和私有 130

5.17 题外话 130

5.18 建立一个测试平台 131

5.19 结论 132

第6章 随机化 133

6.1 介绍 133

6.2 什么需要随机化 133

6.2.1 器件配置 134

6.2.2 环境配置 134

6.2.3 原始输入数据 135

6.2.4 封装后的输入数据 135

6.2.5 协议异常、错误(error)和违规(violation) 135

6.2.6 延时 135

6.3 SystemVerilog中的随机化 135

6.3.1 带有随机变量的简单类 136

6.3.2 检查随机化(randomize)的结果 137

6.3.3 约束求解 137

6.3.4 什么可以被随机化 137

6.4 约束 137

6.4.1 什么是约束 138

6.4.2 简单表达式 139

6.4.3 等效表达式 139

6.4.4 权重分布 140

6.4.5 集合(set)成员和inside运算符 141

6.4.6 在集合里使用数组 142

6.4.7 条件约束 145

6.4.8 双向约束 145

6.4.9 使用合适的数学运算来提高效率 146

6.5 解的概率 147

6.5.1 没有约束的类 147

6.5.2 关系操作 147

6.5.3 关系操作和双向约束 148

6.5.4 使用solve...before约束引导概率分布 148

6.6 控制多个约束块 149

6.7 有效性约束 150

6.8 内嵌约束 151

6.9 pre_randomize和post_randomize函数 152

6.9.1 构造浴缸型分布 152

6.9.2 关于void函数 153

6.10 随机数函数 153

6.11 约束的技巧和技术 154

6.11.1 使用变量的约束 154

6.11.2 使用非随机值 155

6.11.3 用约束检查值的有效性 156

6.11.4 随机化个别变量 156

6.11.5 打开或关闭约束 156

6.11.6 在测试过程中使用内嵌约束 158

6.11.7 在测试过程中使用外部约束 158

6.11.8 扩展类 159

6.12 随机化的常见错误 159

6.12.1 小心使用有符号变量 159

6.12.2 提高求解器性能的技巧 160

6.13 迭代和数组约束 160

6.13.1 数组的大小 160

6.13.2 元素的和 161

6.13.3 数组约束的问题 162

6.13.4 约束数组和队列的每一个元素 164

6.13.5 产生具有唯一元素值的数组 165

6.13.6 随机化句柄数组 168

6.14 产生原子激励和场景 168

6.14.1 和历史相关的原子发生器 169

6.14.2 随机序列 169

6.14.3 随机对象数组 170

6.14.4 组合序列 170

6.15 随机控制 170

6.15.1 用randcase建立决策树 171

6.16 随机数发生器 172

6.16.1 伪随机数发生器 172

6.16.2 随机稳定性——多个随机发生器 173

6.16.3 随机稳定性和层次化种子 174

6.17 随机器件配置 175

6.18 结论 178

第7章 线程以及线程间的通信 179

7.1 线程的使用 180

7.1.1 使用fork...join和begin...end 180

7.1.2 使用fork...join_none来产生线程 181

7.1.3 使用fork...join_any实现线程同步 182

7.1.4 在类中创建线程 183

7.1.5 动态线程 184

7.1.6 线程中的自动变量 185

7.1.7 等待所有衍生线程 187

7.1.8 在线程间共享变量 188

7.2 停止线程 189

7.2.1 停止单个线程 189

7.2.2 停止多个线程 190

7.2.3 禁止被多次调用的任务 191

7.3 线程间的通信 192

7.4 事件 192

7.4.1 在事件的边沿阻塞 192

7.4.2 等待事件的触发 193

7.4.3 在循环中使用事件 194

7.4.4 传递事件 195

7.4.5 等待多个事件 195

7.5 旗语 197

7.5.1 旗语的操作 198

7.5.2 带多个钥匙的旗语 199

7.6 信箱 199

7.6.1 测试平台里的信箱 201

7.6.2 定容信箱 203

7.6.3 在异步线程间使用信箱通信 204

7.6.4 使用定容信箱和探视(peek)来实现线程的同步 206

7.6.5 使用信箱和事件来实现线程的同步 207

7.6.6 使用两个信箱来实现线程的同步 209

7.6.7 其他的同步技术 211

7.7 构筑带线程并可实现线程间通信的测试程序 211

7.7.1 基本的事务处理器 211

7.7.2 配置类 212

7.7.3 环境类 212

7.7.4 测试程序 214

7.8 结束语 214

第8章 面向对象编程的高级技巧指南 215

8.1 继承简介 215

8.1.1 事务基类 216

8.1.2 Transaction类的扩展 217

8.1.3 更多的OOP术语 218

8.1.4 扩展类的构造函数 218

8.1.5 驱动类 218

8.1.6 简单的发生器类 219

8.2 蓝图(Blueprint)模式 220

8.2.1 environment类 222

8.2.2 一个简单的测试平台 222

8.2.3 使用扩展的Transaction类 223

8.2.4 使用扩展类改变随机约束 224

8.3 类型向下转换(downcasting)和虚方法 224

8.3.1 使用$cast作类型向下转换 224

8.3.2 虚方法 226

8.3.3 签名 228

8.4 合成、继承和其他替代的方法 228

8.4.1 在合成和继承之间取舍 228

8.4.2 合成的问题 229

8.4.3 继承的问题 230

8.4.4 现实世界中的其他方法 231

8.5 对象的复制 232

8.5.1 copy_data方法 232

8.5.2 指定复制的目标 234

8.6 抽象类和纯虚方法 235

8.7 回调 237

8.7.1 创建一个回调任务 237

8.7.2 使用回调来注入干扰 238

8.7.3 记分板简介 239

8.7.4 与使用回调的记分板进行连接 240

8.7.5 使用回调来调试事务处理器 241

8.8 参数化的类 242

8.8.1 一个简单的堆栈(stack) 242

8.8.2 关于参数化类的建议 244

8.9 结论 245

第9章 功能覆盖率 246

9.1 覆盖率的类型 249

9.1.1 代码覆盖率 249

9.1.2 功能覆盖率 250

9.1.3 漏洞率 250

9.1.4 断言覆盖率 251

9.2 功能覆盖策略 251

9.2.1 收集信息而非数据 251

9.2.2 只测量你将会使用到的内容 252

9.2.3 测量的完备性 252

9.3 功能覆盖率的简单例子 253

9.4 覆盖组详解 256

9.4.1 在类里定义覆盖组 256

9.5 覆盖组的触发 257

9.5.1 使用回调函数进行采样 257

9.5.2 使用事件触发的覆盖组 258

9.5.3 使用SystemVerilog断言进行触发 259

9.6 数据采样 259

9.6.1 个体仓和总体覆盖率 260

9.6.2 自动创建仓 260

9.6.3 限制自动创建仓的数目 260

9.6.4 对表达式进行采样 261

9.6.5 使用用户自定义的仓发现漏洞 262

9.6.6 命名覆盖点的仓 263

9.6.7 条件覆盖率 264

9.6.8 为枚举类型创建仓 265

9.6.9 翻转覆盖率 266

9.6.10 在状态和翻转中使用通配符 266

9.6.11 忽略数值 266

9.6.12 不合法的仓 267

9.6.13 状态机的覆盖率 268

9.7 交叉覆盖率 268

9.7.1 基本的交叉覆盖率的例子 268

9.7.2 对交叉覆盖仓进行标号 269

9.7.3 排除掉部分交叉覆盖仓 271

9.7.4 从总体覆盖率的度量中排除掉部分覆盖点 271

9.7.5 从多个值域中合并数据 272

9.7.6 交叉覆盖的替代方式 272

9.8 通用的覆盖组 274

9.8.1 通过数值传递覆盖组参数 274

9.8.2 通过引用传递覆盖组参数 274

9.9 覆盖选项 275

9.9.1 单个实例的覆盖率 275

9.9.2 覆盖组的注释 276

9.9.3 覆盖阈值 276

9.9.4 打印空仓 276

9.9.5 覆盖率目标 277

9.10 覆盖率数据的分析 277

9.11 在仿真过程中进行覆盖率统计 278

9.12 结束语 279

第10章 高级接口 281

10.1 ATM路由器的虚接口 281

10.1.1 只含有物理接口的测试平台 281

10.1.2 使用虚接口的测试平台 284

10.1.3 将测试平台连接到端口列表中的接口 287

10.1.4 使用XMR(跨模块引用)连接接口和测试程序 288

10.2 连接到多个不同的设计配置 290

10.2.1 网格(Mesh)设计案例 290

10.2.2 对虚接口使用typedef 293

10.2.3 使用端口传递虚接口数组 294

10.3 接口中的过程代码 295

10.3.1 并行协议接口 295

10.3.2 串行协议接口 296

10.3.3 接口代码的局限性 298

10.4 结论 298

第11章 完整的SystemVerilog测试平台 299

11.1 设计单元 299

11.2 测试平台的模块 303

11.3 修改测试 326

11.3.1 第一个测试——只有一个信元的测试 326

11.3.2 随机丢弃信元 327

11.4 结论 328

第12章 SystemVerilog与C语言的接口 329

12.1 传递简单的数值 329

12.1.1 传递整数和实数类型 329

12.1.2 导入(import)声明 330

12.1.3 参数方向 331

12.1.4 参数类型 331

12.1.5 导入数学库函数 332

12.2 连接简单的C子程序 333

12.2.1 使用静态变量的计数器 333

12.2.2 chandle数据类型 334

12.2.3 值的压缩(packed) 336

12.2.4 四状态数值 337

12.2.5 从双状态数值转换到四状态数值 339

12.3 调用C++程序 339

12.3.1 C++中的计数器 339

12.3.2 静态方法 340

12.3.3 和事务级(Transaction Level)C++模型通信 341

12.4 共享简单数组 344

12.4.1 一维数组——双状态 344

12.4.2 一维数组——四状态 345

12.5 开放数组(open array) 346

12.5.1 基本的开放数组 346

12.5.2 开放数组的方法 347

12.5.3 传递大小未定义的开放数组 348

12.5.4 DPI中压缩(packed)的开放数组 349

12.6 共享复合类型 349

12.6.1 在SystemVerilog和C之间传递结构 350

12.6.2 在SystemVerilog和C之间传递字符串 351

12.7 纯导入方法和关联导入方法 352

12.8 在C中与SystemVerilog通信 353

12.8.1 一个简单的导出方法 353

12.8.2 调用SystemVerilog函数的C函数 354

12.8.3 调用SystemVerilog任务的C任务 355

12.8.4 调用对象中的方法 357

12.8.5 上下文(context)的含义 360

12.8.6 设置导入函数的作用域 361

12.9 与其他语言交互 363

12.10 结论 364