《FPGA电子系统设计项目实战 VHDL语言 第2版》PDF下载

  • 购买积分:19 如何计算积分?
  • 作  者:王振红编著
  • 出 版 社:北京:清华大学出版社
  • 出版年份:2017
  • ISBN:9787302469414
  • 页数:669 页
图书介绍:第1章介绍FPGA电子系统的设计方法。第2章介绍MAX+plus Ⅱ使用方法。第3~7章介绍FPGA硬件描述语言VHDL的特点、VHDL语言中常用的数据、运算符、顺序描述语句和并行描述语句、时钟信号描述方法、有限状态机等基本概念、组合逻辑电路、触发器、时序逻辑电路,对其中的各种功能芯片以及基于VHDL及FPGA的实现方法进行了讲解。第9章介绍FPGA外围电路集成运算放大器及其各种应用。第10、11章对FPGA相关电路系统的设计进行实例介绍。

第1章FPGA电子系统的设计方法 1

1.1 电子系统设计 1

1.1.1总体方案确定 1

1.1.2子系统设计 2

1.2印制电路板设计 3

1.2.1准备工作 4

1.2.2元器件布局与布线 4

1.2.3印制焊盘的尺寸及形状 8

1.2.4印制导线的尺寸及形状 10

1.2.5印制导线的抗干扰和屏蔽 12

1.2.6印制电路板的对外连接 14

1.2.7表面贴装技术对印制板的要求 15

1.3电子系统的安装与调试 15

1.3.1电子系统的安装 15

1.3.2元器件的引脚识别 16

1.3.3电子系统的调试 17

1.4电子系统抗干扰措施 18

1.4.1正确选择器件 19

1.4.2正确分布元器件 19

1.4.3交流输入电源增加电源滤波器 19

1.4.4施加屏蔽措施 20

1.4.5使用合理的接地方式 21

1.4.6电路加入滤波电容和补偿电容 22

第2章FPGA设计软件——QuartusⅡ及其使用方法 24

2.1编程存储及编译 24

2.2指定器件引脚及编译 28

2.3下载 30

2.4存储及编译图形描述(电路图) 30

2.5掉电可存储下载方法 33

第3章FPGA的硬件描述语言VHDL 36

3.1 VHDL程序的特点 36

3.2 VHDL程序的基本结构 37

3.2.1库说明 37

3.2.2实体说明 38

3.2.3结构体说明 39

3.3 VHDL的数据 39

3.3.1基本标志符 40

3.3.2数据对象 40

3.3.3数据类型 41

3.4 VHDL的表达式 43

3.4.1逻辑运算符 44

3.4.2算术运算符 44

3.4.3关系运算符 45

3.4.4并置运算符 45

3.4.5操作符的运算优先级 46

第4章VHDL的顺序描述语句 47

4.1信号赋值语句和变量赋值语句 47

4.2 if语句 48

4.3 case语句 50

4.4 for loop循环语句 52

4.5 null语句 53

第5章VHDL的并行描述语句 55

5.1进程语句 55

5.2并发信号赋值语句 58

5.3条件信号赋值语句 59

5.4选择信号赋值语句 61

5.5元件例化语句 62

5.6生成语句 66

第6章VHDL的时钟信号描述方法 70

6.1时钟信号的VHDL描述方法 70

6.1.1时钟边沿的描述 70

6.1.2时序电路中进程敏感信号是时钟信号 71

6.2时序电路中复位信号的VHDL描述方法 71

6.2.1同步复位 72

6.2.2异步复位 72

第7章VHDL的有限状态机的设计7.1有限状态机的基本概念 74

7.2一个Moore型有限状态机的设计方法 75

第8章FPGA数字电路设计实例 81

8.1门电路FPGA设计 81

8.1.1与非门电路 81

8.1.2二输入或非门电路 84

8.1.3二输入异或门电路 85

8.1.4反向器门电路 87

8.1.5三态门电路 88

8.1.6单向总线缓冲器 88

8.1.7双向总线缓冲器 89

8.2组合逻辑电路FPGA设计 89

8.2.1监视交通信号灯工作状态的逻辑电路 89

8.2.2 8线-3线编码器 90

8.2.3 8线-3线优先编码器 91

8.2.4二一十进制编码器 93

8.2.5译码器(3线-8线) 94

8.2.6二一十进制译码器 96

8.2.7 BCD七段显示译码器 97

8.2.8代码转换电路 98

8.2.9四选一数据选择器 100

8.2.10八选一数据选择器 101

8.2.11 4位全加器 101

8.2.12 8位加法器 103

8.2.13多位数值比较器 105

8.3触发器FPGA设计 105

8.3.1 RS触发器 106

8.3.2主从JK触发器 106

8.3.3 D触发器 108

8.4时序逻辑电路FPGA设计 109

8.4.1寄存器 109

8.4.2双向移位寄存器 110

8.4.3串行输入并行输出移位寄存器 111

8.4.4循环移位寄存器 112

8.4.5 4位同步二进制计数器 113

8.4.6单时钟同步十六进制加/减计数器 114

8.4.7双时钟同步十六进制加/减计数器 115

8.4.8同步十进制加法计数器 118

8.4.9单时钟同步十进制可逆计数器 120

8.4.10异步二进制加法计数器 121

8.4.11同步一百进制计数器 123

8.4.12同步二十九进制计数器 124

8.4.13顺序脉冲发生器 126

8.4.14序列信号发生器 127

8.4.15用状态机方法设计十三进制计数器 128

8.4.16串行数据检测器 129

8.4.17能自启动的七进制计数器 131

8.4.18能自启动的三位环形计数器 132

8.4.19用状态机方法设计十进制减法计数器 133

第9章FPGA外围电路——集成运算放大器 135

9.1集成运算放大器典型电路 135

9.1.1反相比例运算电路 135

9.1.2同相比例运算电路 136

9.1.3反相求和运算电路 136

9.1.4同相求和运算电路 136

9.1.5加减运算电路 137

9.1.6积分运算电路 137

9.1.7微分运算电路 138

9.1.8压控电压源二阶低通滤波器 138

9.1.9压控电压源二阶高通滤波器 138

9.1.10 RC桥式正弦振荡电路 139

9.1.11方波发生电路 139

9.1.12方波和三角波发生电路 140

9.1.13过零比较器 140

9.1.14一般单限比较器 141

9.1.15滞回比较器 141

9.1.16窗口比较器 143

9.1.17精密整流电路 143

9.2单电源供电的集成运算放大器的应用 144

9.2.1单电源集成运放的放大电路 144

9.2.2单电源集成运放的正弦波发生器 145

9.2.3单电源集成运放的方波三角波发生器 146

9.2.4单电源集成运放的低通滤波器 146

9.2.5单电源集成运放的高通滤波器 146

9.2.6单电源集成运放的比较器 147

9.2.7单电源比较器 147

9.2.8单电源比较器组成的窗口比较器 148

9.2.9高效率音频功率放大器 148

9.2.10单电源集成运放综合设计 152

9.3集成运算放大器的综合应用 153

9.3.1小信号功率放大器 153

9.3.2具有放大、滤波及继电器控制的模拟信号检测与控制系统 155

9.3.3具有放大、F/V转换及继电器控制的模拟信号频率检测与控制系统 158

9.3.4间歇正弦波控制路 160

9.3.5语音信号放大器 162

9.3.6程控放大器0~40dB 162

9.3.7宽带放大器 164

9.3.8正弦交流电压有效值控制的压控振荡电路 165

9.3.9电压转换恒定电流电路 166

9.3.10实用温控器 167

9.3.11用热释电传感器的报警电路 168

9.3.12基于PWM的LED调光电路 168

9.3.13具有放大、滤波器、计数功能的电子电路 170

第10章FPGA数字电路系统设计实例 173

10.1数字信号的发送和接收电路 173

10.2序列计数器 175

10.3设计一个自动售邮票的控制电路 178

10.4数字锁 180

10.5设计一个汽车尾灯的控制电路 183

10.6交通灯控制器 186

10.7双十字路口交通灯控制器 193

10.8 16×16的点阵显示设计 196

10.9乒乓球游戏机 200

10.10三层电梯控制器 205

10.11汽车停车场停车位显示系统 210

10.12智力竞赛抢答计时器的设计 212

10.13出租车计费器 218

10.14定时器 223

10.15秒表 226

10.16数字钟 232

10.17数字频率计 239

10.18电子琴电路设计 245

10.19《友谊地久天长》乐曲演奏电路设计 247

10.20寄存序列型信号发生器 254

10.21正负脉宽数控调制信号发生器设计 257

10.22智能函数发生器设计 258

10.23周期可调的多波形发生器 265

10.24模拟信号检测 271

10.25数据采集及监控系统 277

第11章FPGA电子系统设计项目 282

11.1项目1 FPGA控制的数码显示电路 282

11.1.1设计要求 282

11.1.2设计分析 282

11.1.3显示原理 282

11.1.4驱动8位数码管显示电路框图 283

11.1.5模块及模块功能 284

11.2项目2键盘控制电路 288

11.2.1设计要求 288

11.2.2设计分析 288

11.2.3设计思想与源程序 289

11.3项目3用8×8行共阴、列共阳双色点阵发光器件显示汉字 295

11.3.1设计要求 295

11.3.2设计分析 295

11.3.3器件及硬件电路 295

11.3.4设计软件的思路及源程序 298

11.4项目4 FPGA控制的数模D/A转换电路 311

11.4.1设计要求 311

11.4.2设计分析 311

11.4.3 DAC0832转换器 311

11.4.4数模D/A转换电路 312

11.4.5 FPGA控制的数模D/A转换电路 313

11.5项目5 FPGA控制的模数A/D转换0809的应用 314

11.5.1设计要求 314

11.5.2设计分析 315

11.5.3 ADC0809转换器及其转换电路 315

11.5.4 FPGA控制的模数A/D转换电路 318

11.5.5用数码管显示模数A/D转换器的输入电压 321

11.5.6 ADC0809转换模拟输入负电压电路 326

11.6项目6数控式可逆步进调压直流稳压电源 327

11.6.1设计要求 327

11.6.2原理及硬件电路 327

11.6.3软件设计思想及源程序 328

11.7项目7数控式直流电流源 331

11.7.1设计指标及框图 331

11.7.2硬件电路图 331

11.7.3软件设计思想及源程序 333

11.8项目8低频数字式相位测量仪 336

11.8.1低频数字式相位测量仪设计指标及框图 336

11.8.2移相网络 336

11.8.3相位测量 338

11.9项目9多路数据采集系统 348

11.9.1设计内容 348

11.9.2现场模拟信号产生器 349

11.9.3 8路数据采集器 351

11.9.4主控器 354

11.10项目10测量放大器 369

11.10.1测量放大器系统 369

11.10.2桥式电路 370

11.10.3信号变换放大器 370

11.10.4直流电压放大器 370

11.10.5程控的直流电压放大器 372

11.11项目11功率放大器 384

11.11.1设计任务 384

11.11.2功率放大器 384

11.11.3前置放大器 385

11.11.4系统测试 386

11.11.5自制稳压电源 386

11.11.6集成功率放大器 387

11.12项目12开关型稳压电源 392

11.12.1脉冲宽度调制电路MIC2194 393

11.12.2 MC34060控制的串联型开关稳压电源 394

11.13项目13程控滤波器 395

11.13.1设计要求 395

11.13.2设计框图 396

11.13.3程控放大器 396

11.13.4程控低通滤波器 399

11.13.5程控高通滤波器 402

11.13.6程控滤波器的FPGA控制核心 403

11.14项目14信号发生器 425

11.14.1设计要求 425

11.14.2信号发生器的功能及其内部接线 425

11.14.3信号发生器的FPGA内部结构 427

11.14.4调用QuartusⅡ中的除法器件方法 448

11.15项目15交流电压参数的测量 451

11.15.1设计要求 451

11.15.2给定的器件 452

11.15.3硬件电路 454

11.15.4软件电路 456

11.16项目16宽带放大器 472

11.16.1设计要求 472

11.16.2硬件电路 473

11.16.3软件电路 476

11.17项目17高效率音频功率放大器 493

11.17.1 设计要求 493

11.17.2 D类放大器的工作原理 493

11.17.3硬件电路 494

11.17.4软件电路 497

11.18项目18数字化语音存储与回放系统 508

11.18.1设计要求 508

11.18.2硬件电路 508

11.18.3软件电路 511

11.19项目19数字式工频有效值多用表 517

11.19.1硬件电路 518

11.19.2软件电路 518

11.20项目20简易电阻、电容和电感测量仪 538

11.20.1设计要求 538

11.20.2硬件电路 538

11.20.3软件电路 540

11.21项目21数字幅频均衡功率放大器 553

11.21.1设计要求 553

11.21.2设计框图 554

11.21.3三级放大电路 554

11.21.4带阻网络 555

11.21.5低通滤波电路 555

11.21.6 A/D转换 555

11.21.7 D/A转换和功率放大器 555

11.21.8 FPGA程序 555

11.22项目22红外光通信装置 562

11.22.1设计要求 562

11.22.2红外光语音通信装置 563

11.22.3红外光温度数字信号通信装置 565

11.22.4红外光通信装置总结 583

11.23项目23数字频率计 584

11.23.1设计要求 584

11.23.2系统设计方案和电路 584

11.23.3信号频率测量 587

11.23.4时间间隔测量系统模块 595

11.23.5占空比测量系统模块 603

11.24项目24基于FPGA与nRF24L01的无线数据传输与应用 607

11.24.1 nRF24L01无线收发器 607

11.24.2 nRF24L01无线收发器工作模式 609

11.24.3 nRF24L01配置 611

11.24.4用FPGA对nRF24L01进行配置 618