当前位置:首页 > 工业技术
实用电子电路手册  数字电路分册
实用电子电路手册  数字电路分册

实用电子电路手册 数字电路分册PDF电子书下载

工业技术

  • 电子书积分:19 积分如何计算积分?
  • 作 者:张端主编;《实用电子电路手册(数字电路分册)》编写组编
  • 出 版 社:北京:高等教育出版社
  • 出版年份:1992
  • ISBN:7040039192
  • 页数:673 页
图书介绍:
《实用电子电路手册 数字电路分册》目录

D.五2输入异或/异或非门——CE 0

F.双9位奇偶校验/产生器——CE 0

D.用代码转换器进行二进制码-8-4-2- 1

第一篇 数字集成电路 1

第一章 TTL数字集成电路 1

1.0 概述 1

1.0.1 分类及典型电路 1

目录 1

C.用CMOS模块组成的二进制码-8-4- 2

1.0.2 电参数及其测量方法 3

A.直流参数 3

B.交流参数 3

C.推荐工作条件 4

D.测试方法及测试图 4

1.1 集成逻辑门 8

1.1.1 集成逻辑门系列品种及主要性能 8

1.1.2 直流参数及推荐工作条件 11

1.2 缓冲器及线驱动器 14

1.2.1 缓冲器及线驱动器系列品种及主要性能 14

1.2.2 直流参数及推荐工作条件 15

1.3 单稳态触发器 17

1.3.1 单稳态触发器系列品种及主要性能 17

1.3.2 单稳态触发器的功能及引脚图 18

A.单稳态触发器(带施密特触发输入)——54/74121 18

B.双单稳态触发器(带施密特触发输入)——54/74221、54/74LS221 18

C.可重触发单稳态触发器——54/74123、54/74LS123 19

54/74LS122 19

1.3.3 电参数及推荐工作条件 19

1.4 锁存器 22

1.4.2 锁存器的功能及引脚图 22

1.4.1 锁存器系列品种及主要性能 22

C.4位D型锁存器——54/74LS77 23

D.4位D型锁存器——54/74LS375 23

F.双4位锁存器——54/74116 24

G.八D型锁存器——54/74LS363、 24

54/74LS373、54/74S373 24

H.8位可寻址锁存器——54/74LS259 25

1.4.3 直流参数及推荐工作条件 26

1.5.1 D型触发器系列品种及主要性能 28

1.5 D型触发器 28

1.5.2 D型触发器的功能及引脚图 29

A.双D型触发器——54/7474、 29

54/74H74、54/74S74、54/74LS74 29

B.四D型触发器——54/74175、 29

54/74S175、54/74LS175 29

C.四D型触发器——54/74LS171 29

D.六D型触发器——54/74174、 30

54/74S174、54/74LS174 30

E.四D型触发器(带使能端)—— 30

54/74LS379 30

54/74LS377 31

H.八D型触发器(3S)——54/74LS364、54/74LS374 31

54/74LS378 31

G.八D型触发器(带使能端)—— 31

F.六D型触发器(带使能端)—— 31

1.5.3 D型触发器的直流参数及推荐工作条件 32

1.6 J-K触发器 36

1.6.1.J-K触发器系列品种及主要性能 36

1.6.2.J-K触发器的功能及引脚图 36

A.与门输入主-从单J-K触发器—— 36

54/7472、54/74H72 36

B.双J-K触发器(有清除端)—— 37

54/7473、54/74LS73 37

C.双J-K触发器(有置位、清除端)——54/7476、54/74LS76、54/74LS78 37

54/74LS109 38

F.双J-K边沿触发器——54/74109、 38

54/74LS107 38

D.双J-K触发器——54/74107、 38

E.双J-K触发器——54/74111 38

G.双J-K触发器——54/74S112、 39

54/74LS112 39

H.双J-K触发器——54/74LS113 39

I.双J-K触发器——54/74S114、 40

54/74LS114 40

J.四J-K触发器——54/74276 40

1.6.3 J-K触发器的直流参数及推荐工作条件 40

1.7 译码器和编码器 42

1.7.1 译码器系列品种及主要性能 42

1.7.2 译码器的功能及引脚图 43

A.双2线-4线译码器——54/74139、54/74S139、54/74LS139 43

B.双2线-4线译码器/分配器—— 44

54/74155、54/74LS155、54/74LS156(OC) 44

D.地址锁存3线-8线译码器/分配器——54/74LS137 45

C.3线-8线译码器——54/74138、54/74S138、54/74LS138 45

E.4线-10线译码器——54/7442、54/74LS42、54/7445、54/74145、54/74LS145 46

F.4线-16线译码器——54/74154 47

G.BCD-七段译码器(共阴字形管)——54/7448、54/74LS48、54/7449、54/74LS49、54/74248、54/74LS248、54/74249(OC)、54/74LS249(OC) 47

H.BCD-七段译码器/驱动器(OC) 48

(共阳字形管)——54/7446(30V)、54/7447(15V)、54/74LS47、54/74246、54/74247、54/74LS247、54/74LS347 48

1.7.3 译码器的直流参数及推荐工作条件 49

1.7.4 编码器系列品种及主要性能 51

1.7.5 编码器的功能及引脚图 51

A.10线-4线优先编码器——54/74147、54/74LS147 51

B.8线-3线优先编码器——54/74148、54/74LS148、54/74LS348 52

1.7.6 编码器的直流参数及推荐工作条件 53

1.8 数据选择器 53

1.8.1 数据选择器系列品种及主要性能 53

54/74S251、54/74LS251 54

54/74S151、54/74LS151、54/74251、 54

A.8选1数据选择器——54/74152 54

1.8.2 数据选择器的功能及引脚图 54

B.8选1数据选择器——54/74151、 54

C.8选1带地址锁存器的数据选择器——54/74LS354(3S)、54/74 LS355(OC)、54/74LS356(3S)、54/74LS357(OC) 55

S 153、54/74LS153、54/74LS253(3S) 57

E.双4选1数据选择器——54/74LS352、54/74LS353(3S) 57

F.四2选1数据选择器——54/74157、 58

54/74S157、54/74LS157、54/74S257(3S)、54/74LS257(3S) 58

G.四2选1数据选择器——54/74158、 58

54/74S158、54/74LS158、 58

54/74S258(3S)、54/74LS258(3S) 58

H.带存储四2选1数据选择器——54/74298、54/74LS298 58

I.16选1数据选择器——54/74150 59

1.8.3 数据选择器的直流参数及推荐工作条件 60

1.9 计数器 61

1.9.1 计数器系列品种及主要性能 61

1.9.2 计数器的功能及引脚图 62

二-八-十六进制异步计数器—— 62

54/74197、54/74S197、54/74 LS197、54/74177 62

B.二-五-十进制异步计数器——54/74LS90、54/74290、54/74LS290 63

D.二-八-十六进制计数器——54/74LS93、54/74293、54/74LS293 65

E.双4位二进制计数器——54/74393、 66

54/74LS393 66

4位二进制同步加/减计数器——54/74191、54/74LS191 67

54/74S169、54/74LS169 68

4位二进制同步加/减计数器—— 68

4位二进制同步加/减计数器(双时钟)——54/74193、54/74LS193 69

I.单D型触发器(有R-S端)——CE11C 70

A.异步计数器的直流参数及推荐工作条件 71

1.9.3 电参数及推荐工作条件 71

B.同步计数器的直流参数及推荐工作条件 71

M.9位奇偶产生器/校验器——CC 74

R.二-六-十二进制计数器——CC 74

L.四2输入异或非门——CC4077、CC 74

F.十进制同步计数器——54/74160、54/ 74

HC107、CC74HC109、CC74HC112、CC 74

G.高速CMOS双J-K触发器——CC 74

G.双4选1数据选择器——54/74153、54/ 74

4位二进制同步计数器——54/74161、54/ 74

B.4位D型锁存器——54/7475、54/74LS 75

1.10 移位寄存器 76

1.10.1 移位寄存器系列品种及主要性能 76

1.10.2 移位寄存器的功能及引脚图 77

A.4位移位寄存器(3S)——54/74LS395 77

B.5位移位寄存器——54/74LS96 77

C.8位移位寄存器——54/74LS91 78

54/74LS164 79

54/74LS165 79

E.8位移位寄存器——54/74165、 79

D.8位移位寄存器——54/74164、 79

F.8位移位寄存器——54/74166、 80

54/74LS166 80

G.8位移位寄存器——54/74199 80

54/74LS322 82

I.8位通用移位/存储寄存器—— 82

54/74LS323 82

H.符号扩展8位移位寄存器—— 82

J.4位并行移位寄存器——54/74195、54/74S195、54/74LS195 83

K.4位双向移位寄存器——54/74194、54/74S194、54/74LS194 83

L.4位并行双向移位寄存器——54/7495、54/74LS95 85

K.四2输入异或门——CC4070、CC74HC 86

M.4位并行双向移位寄存器—— 86

54/74LS295 86

N.8位通用移位/存储寄存器—— 86

54/74LS299 86

A.四2输入异或门——54/7486、54/74S 86

O、8位双向移位寄存器——54/74198 87

A.单、双向移位寄存器的直流参数及 89

推荐工作条件 89

B.三态输出双向移位寄存器的直流参数及推荐工作条件 89

C.符号扩展8位移位寄存器及8位通用 89

移位/存储寄存器的直流参数及推荐工作条件 89

1.10.3 移位寄存器的直流参数及推荐工作条件 89

1.11 运算电路 94

1.11.1 运算电路系列品种及主要性能 94

1.11.2 运算电路功能及引脚图 96

54/74LS86、54/74136(OC)、 96

54/74LS136(OC)、54/74LS386 96

B.四2输入异或非门——54/74LS266 97

C.四异或门/异或非门——54/74S135 97

D.4位二进制原码/反码、O/I单元——54/74H87 97

54/174S283 54/74LS283、54/74LS83 98

F.4位二进制全加器——54/74283、 98

E.双进位保留全加器——54/74H183、54/74LS183 98

H.超前进位产生器——54/74182、 101

54/74S182 101

I.算术逻辑单元/函数产生器—— 102

54/74S381、54/74LS381、54/74LS382 102

J.4位并行二进制累加器—— 104

54/74S281 104

K.4位串行加法器/减法器—— 105

54/74LS385 105

L.2位×4位并行二进制乘法器—— 106

54/74LS261 106

M.4位×4位并行二进制乘法器—— 107

54/74284、54/74285 107

54/74S275、54/74LS275 108

P.7位位片华莱士树(3S)—— 108

54/74LS384 108

54/74S274 108

N.4位×4位二进制乘法器(3S)—— 108

O.8位×1位2的补码乘法器—— 108

Q.4位数值比较器——54/7485、 109

54/74S85、54/74LS85 109

E.双4位锁存器——74110、54/74LS 110

R.8位奇偶产生器/校验器—— 110

54/74180 110

S.9位奇偶产生器/校验器——54/74S280、54/74LS280 111

1.11.3 运算电路的直流参数及推荐工作条件 111

A.运算电路的直流参数及推荐工作条件 111

B.奇偶产生器/校验器直流参数及推荐工作条件 113

A.4×4寄存器阵——74170、 114

54/74LS170、54/74LS670 114

1.12.1 随机存取存储器RAM 114

1.12 半导体存储器 114

B.8字×2位寄存器阵——74172 117

C.16字×4位RAM——7489 119

D.16字×4位RAM——3101A 121

1.12.2 只读存储器ROM 122

A.1k字×8位PROM——3628A(3S) 122

82S181/82HS 181(3S) 122

B.2k字×8位PROM——3636B、 123

82S191、82HS191 123

C.4k字×8位PROM——3632、 123

82S321、82HS321 123

D.可编程逻辑门阵列FPLA—— 124

82S100(3S)82S101(OC) 124

E.18×15×12可编门阵列——82S151(3S) 125

G.PAL——16H8、16L8、16P8、16R4、16R8等 128

1.12.3 顺序存储器SAM 128

B.64×5FIFO寄存器——57/67413A 129

第二章 ECL数字集成电路 131

2.0 概述 131

2.0.1 分类及典型电路 131

2.0.2 电参数及其测量方法 132

A.直流参数 132

B.性能退化的极限定额值 133

C.交流参数 134

D.测试方法及测试图 134

2.1 集成逻辑门 134

2.1.1 ECL集成逻辑门系列品种功能及主要性能 134

2.1.2 驱动器及线接收器功能及引脚图 137

A.三线接收器——CE10116、CE10516、CE10216 137

B.四线接收器——CE10115、CE1692 137

C.双线驱动器——CE10112 137

2.1.3 逻辑门的直流参数 138

2.2 ECL触发器、锁存器 139

2.2.1 ECL锁存器、触发器系列品种及主要性能 139

2.2.2 锁存器及触发器的功能及引脚图 140

A.双R-S触发器——CE1666 140

C.双D型锁存器——CE10130 141

CE1668 141

D.三D型锁存器——CE100130 141

B.双D型锁存器(有R-S端)—— 141

F.五D型锁存器——CE10175 142

E.四D型锁存器——CE10133 142

G.六D型锁存器——CE100150 142

H.高速D型触发器——CE11C06 143

L.双D型主-从触发器——CE1618 145

N.双D型主-从触发器——CE10131、 146

CE10531、CE10231 CE10631 146

O.三D型触发器——CE100131 146

2.2.3 ECL锁存器、触发器的电参数 147

Q.高速单D型触发器——CE7670 147

主要性能 148

2.3 译码器、编码器 148

2.3.2 译码器、编码器功能及引脚图 148

A.3线-8线译码器(输出为0)—— 148

CE10161 148

2.3.1 ECL译码器、编码器系列品种及 148

B.3线-8线译码器(输出为1)—— 149

CE10162 149

C.双2线-4线译码器(输出为0)—— 149

CE10171 149

D.双2线-4线译码器(输出为1)—— 150

CE10172 150

2.3.3 译码器、编码器的电参数 151

2.4.2 数据选择器的功能及引脚图 152

2.4 数据选择器 152

2.4.1 数据选择器系列品种及主要性能 152

A.双2选1数据选择器——CE10132 152

B.双2选1数据选择器——CE10134 152

(原码输出)、CE10159(反码输出) 153

E.双4选1数据选择器——CE10174 153

F.8选1数据选择器——CE10164、 154

CE10564 154

F.高速4线-16线译码器——CC74HC 154

2.4.3 数据选择器的电参数 155

A.4位二进制加/减计数器——CE10136 156

2.5 计数器 156

2.5.1 ECL计数器系列品种及主要性能 156

2.5.2 计数器的功能及引脚图 156

C.4位二进制加法计数器——CE1654 157

B.十进制计数器——CE10137 157

E.4位计数/移位寄存器——CE100136 158

2.5.3 计数器的电参数 159

F.16×45×12可编程定序器——82S 159

A.4位双向移位寄存器——CE10141 160

2.6.1 移位寄存器的功能及引脚图 160

2.6 移位寄存器 160

B.4位单向移位寄存器——CE1694 161

2.7 运算电路 162

LS160、54/74162、54/74S162、54/74LS 162

2.7.1 运算电路系列品种及主要性能 162

2.6.2 移位寄存器的电参数 162

LS161、54/74163、54/74S163、54/74 LS 163

2.7.2 运算电路的功能及引脚图 163

双2输入异或非门——CE1617 163

三2输入异或非门——CE1674 163

C.三2输入异或/异或非门——CE10107、CE10507 163

E.12位奇偶校验/产生器——CE10160 164

G.9位奇偶校验/产生器——CE10170 165

I.9位数值比较器——CE100166 166

H.5位数值比较器——CE10166 166

J.超前进位产生器——CE10179 167

K.超前进位产生器——CE100179 168

H.十进制同步加/减计数器——54/74S168、54/74LS 168

L.2位加法/减法器——CE10180 169

M.快速6位加法器——CE100180 170

N.4位算术逻辑单元/函数发生器—— 170

CE10181 170

2.7.3 运算电路的电参数 171

O.4位二进制/二-十进制算术逻辑单元——CE100181 171

2.8 随机存取存储器RAM 172

2.8.1 位结构型RAM 172

A.1k字×1位RAM——CE10415、 172

CE100415 172

B.全译码RAM——CE863、CE859、 173

CE855 173

2.8.2 字结构型RAM 175

1k字×4位RAM——CE10474、CE 175

100474 175

3.0 概述 176

3.0.1 分类及典型电路 176

第三章 CMOS数字集成电路 176

3.0.2 电参数及其测试方法 177

A.推荐工作条件 177

B.直流(静态)参数 177

C.交流(动态)参数 179

D.测试方法及测试图 179

3.1.1 集成逻辑门系列品种的功能及主要性能 180

3.1 集成逻辑门 180

3.1.2 直流参数及推荐工作条件 183

3.2.1 TTL、ECL、CMOS集成电路的电? 184

转换电路系列 184

A.TTL/ECL转换电路 184

B.ECL/TTL转换电路 184

3.2 电平转换电路 184

C.CMOS/TTL转换电路 185

D.TTL/CMOSCMOS/CMOS转换电路 185

3.2.2 电平转换电路的静态参数 186

3.3 单稳态触发器 188

3.3.1 单稳态触发器系列品种及主要性能 188

A.非可重触发双单稳态触发器——CC4098、CC14528、CC14538、CC74HC4538 189

B.可重触发双单稳态触发器—— 189

CC74HC123 189

3.3.2 单稳态触发器的功能及引脚图 189

G.十进制同步加/减计数器——54/74190、54/74LS 190

3.3.3 单稳态触发器的电参数 190

3.4 CMOS锁存器 191

3.4.1 CMOS锁存器系列品种及主要性能 191

3.4.2 锁存器的功能及引脚图 191

A.四R-S锁存器(或非R-S触发器)——CC4043 191

B.四R-S锁存器(3S与非R-S触发器)——CC4044 191

C.4位锁定触发器——CC74HC75 192

D.四D型锁存器——CC4042 192

I.十进制同步加/减计数器(双时钟)——54/74192、54/74LS 192

F.八D透明锁存器(三态反相)—— 193

CC74HC563 193

G.八D型锁存器(三态反相)—— 193

CC74HC533 193

I.8位可寻址锁存器——CC74HC259 194

J.8位可寻址锁存器——CC4724、 194

CC14099 194

K.8位双向可寻址锁存器——CC14599 195

3.4.3 锁存器的电参数及推荐工作条件 195

A.二-五-十进制异步计数器—— 196

54/74196、54/74S196、54/74LS 196

3.5 CMOS触发器 197

3.5.1 触发器系列品种及主要性能 197

3.5.2 触发器的功能及引脚图 198

A.双D型触发器——CC4013 198

B.高速双D型触发器——CC74HC74 198

C.高速四D型触发器——CC74HC175 198

D.六D型触发器——CC14174、CC40174、CC74HC174 198

E.单J-K触发器——CC4095、CC4096 198

F.双J-K触发器——CC4027 198

HC113 198

3.5.3 触发器的电参数及推荐工作条件 199

A.CMOS触发器的电参数及推荐工作条件 199

B.HCMOS触发器的电参数及推荐工作条件 199

G.4位算术逻辑单元/函数产生器——54/74181、54/74S181、54/74LS181 199

3.6.1 译码器、编码器系列品种及主要性能 203

3.6 译码器、编码器 203

3.6.2 译码器、编码器的功能及引脚图 204

A.双2线——4线译码器(0)——CC4556、CC74HC139 204

B.双2线——4线译码器(1)——CC4555 204

C.高速3线-8线译码器——CC 74HC138 204

D.4线-10线译码器——CC4028、 204

CC14028 204

E.高速4线——10线译码器——CC74HC42 205

I.BCD-七段锁存译码器/驱动器—— 205

G.4位锁存/4线-16线译码器—— 205

CC4514(1)、CCA515(0) 205

H.BCD-七段译码器(共阴字形管)—— 205

CC14547 205

CC4511、CC14513 205

CC14544、CC14543 208

J.BCD-七段锁存译码器/驱动器—— 208

K.BCD-七段液晶显示驱动器—— 209

CC4055、CC4056 209

L.10线-4线优先编码器——CC40147 210

M.8线-3线优先编码器——CC4532 211

3.6.3 译码器、编码器的电参数及推荐工作条件 211

A.通用译码器的电参数 211

B.CMOS显示译码器的电参数及推荐工作 211

条件 211

C.CMOS编码器的电参数及推荐工作条件 211

3.7 数据选择器 214

3.7.1 数据选择器系列品种及主要性能 214

3.7.2 数据选择器的功能及引脚图 215

A.四2选1数据选择器——CC4019 215

B.双4选1数据选择器——CC14529 215

C.8选1数据选择器——CC4512 216

D.高速CMOS数据选择器系列 216

3.7.3 数据选择器的电参数及推荐工作条件 217

3.8 计数器 218

3.8.1 CMOS计数器系列品种及主要性能 219

3.8.2 计数器的功能及引脚图 220

A.14位二进制计数器——CC4020、 220

CC74HCA020 220

B.7位二进制串行计数器——CC4024 220

C.12位二进制计数器——CC4040、 221

CC74HC4040 221

D.14位二进制串行计数器——CC4060、 221

CC74HC4060 221

双4位二进制同步计数器——CC4520 223

4位二进制同步计数器——CC40161 223

J.双4位BCD/二进制减法计数器——CC14569 224

I.可预置N分频计数器——CC4018 224

十进制同步计数器——CC40162 224

二进制减法计数器——CCA526 224

CC14522可预置数4位二进制(1/N)计数器——CC14526 225

K可预置二-十进制(1/N)计数器—— 225

4位二进制同步加/减计数器——CC4516 226

M.4位二进制/十进制加/减计数器——CC4029 227

O.十进制计数器/脉冲分配器——CC4017 228

P.八进制计数器/脉冲分配器——CC4022 228

Q.HCMOS计数器系列品种的功能及引脚图 228

HC92 229

3.8.3 CMOS计数器的电参数 230

A.CMOS计数器的静态参数 230

B.CMOS计数器的动态参数 230

3.8.4 HCMOS计数器的电参数 233

3.9 移位寄存器 234

3.9.1 CMOS移位寄存器系列品种及主要性能 235

3.9.2 移位寄存器的功能及引脚图 235

CC14006 235

A.18位静态移位寄存器——CC4006、 235

B.双4位静态移位寄存器——CC4015 236

D.4位双向移位寄存器——CC40194 237

E.4位并行移位寄存器——CC40195 237

F.4位移位寄存器——CC4035 237

G.8位总线寄存器——CC4034 238

3.9.3 移位寄存器的电参数 239

3.10 运算电路 241

3.10.1 运算电路系列品种及主要性能 241

3.10.2 运算电路的功能及引脚图 242

A.4位数值比较器——CC14585 242

B.4位数值比较器——CC74HC85 243

D.NBCD全加器——CC14560 243

F.BCD码求反器(9的补码器)—— 244

CC14561 244

E.三串行加法器——CC4032(正逻辑)、CCA038(负逻辑) 244

G.超前进位发生器——CC40182 245

H.4位算术逻辑单元/函数发生器—— 246

CC40181 246

I.BCD比例乘法器——CCA527 247

J.4位二进制比例乘法器——CC4089 249

HC266 250

HC280 251

3.10.3 运算电路的电参数 251

3.11 随机存取存储器RAM 251

3.11.1 静态随机存取存储器 251

A.256字×1位静态随机存取存储器——CM14537 251

B.1024字×1位静态随机存取存储器——2102 251

C.256字×4位静态随机存取存储器——CM5101 254

D.1024字×4位静态随机存取存储器——2114 255

E.2048字×8位随机存取存储器—— 255

2128 255

A.16k字×1位动态随机存取存储器——2118 258

3.11.2 动态随机存取存储器DRAM 258

B.16k字×1位动态随机存取存储器——21164116 261

C.64k字×1位动态随机存取存储器——2164 262

3.11.3 随机存取存储器的扩展 263

A.位扩展法 263

B.字扩展法 263

C.字位扩展法 265

3.12.1 可编可擦只读存储器EPROM 266

A.2k字×8位EPROM——Intell2716 266

3.12 只读存储器ROM 266

B.4k字×8位EPROM——2732 268

C.8k字×8位EPROM——2764 268

3.12.2 电可擦可编只读存储器E2PROM 271

A.2k字×8位E2pROM——2815 271

B.2k字×8位E2PROM——2816 273

3.12.3 固定只读存储器ROM 273

3.12.4 只读存储器的扩展 275

A.位扩展法 275

B.字扩展法 275

3.13 顺序存储器SAM 276

3.13.1 先入先出(FIFO)顺序存储器——CC40105 276

3.13.2 大容量FIFO存储器 277

A.512字×9位FIFO存储器——IDT7201 277

B.1k字×9位FIFO存储器——IDT7202 278

第二篇 应用电路 279

第四章 脉冲源 279

4.1 适用于不同频段的脉冲源 279

4.1.1 低频段脉冲源 279

A.基本型CMOS多谐振荡器 279

A.四R-S锁存器——54/74279、54/74LS 279

B.改进型CMOS多谐振荡器 280

C.施密特触发器构成的振荡器 280

D.环形振荡器 281

E.单稳态触发器构成的振荡器 281

F.定时器555构成的振荡器 282

4.1.2 中频段脉冲源 283

B.TTL环形振荡器 283

A.TTL对称多谐振荡器 283

C.TTL单稳态触发器构成的方波发生器 284

D.LC多谐振荡器 285

4.1.3 高频段脉冲源 286

B.ECL RC振荡器 286

A.高频环形振荡器 286

4.2 晶振脉冲源 287

4.2.1 CMOS晶振脉冲源 287

A.典型石英晶体振荡器 287

C.石英秒脉冲源 288

B.改进型石英晶体振荡器 288

4.2.2 TTL晶体振荡器 288

B.对称型石英晶体振荡器 289

A.最简石英晶体振荡器 289

C.环形石英晶体振荡器 290

4.2.3 ECL晶振脉冲源 290

A.ECL石英晶体振荡器 290

B.泛音晶体振荡器 290

4.2.4 集成晶体振荡器 291

A.74320集成晶体振荡器 291

B.压控对称型多谐振荡器 292

B.74321集成晶体振荡器 292

4.3 压控振荡器(VCO) 292

A.最简型压控多谐振荡器 292

4.3.1 CMOS压控振荡器 292

C.压控环形振荡器 293

D.施密特触发器构成的压控振荡器 293

4.3.2 TTL压控振荡器 294

A.压控TTL环形振荡器 294

B.压控TTL对称型多谐振荡器 294

4.3.3 ECL控振荡器 294

A.RC压控振荡器 294

B.压控泛音振荡器 294

A.74124双电压控制振荡器 296

4.3.4 集成压控振荡器 296

B.74324电压可控振荡器 297

C.74325、74326、74327双电压可控振荡器 297

D.CE1648ECL压控振荡器 297

4.4 起/止可控脉冲源 298

4.4.1 门控起/止脉冲源 298

A.门控起/止对称多谐振荡器 298

B.门控起/止晶体振荡器 298

B.脉冲键控环形振荡器 299

A.脉冲键控基本型多谐振荡器 299

4.4.2 脉冲键控多谐振荡器 299

C.单稳态触发器型键控脉冲源(一) 300

D.单稳态触发器型键控脉冲源(二) 300

A.D触发器构成的键控脉冲源 301

B.用PLL集成电路构成的键控脉冲源 301

4.4.3 其它可控脉冲源 301

4.5 单脉冲源 302

4.5.1 异步单脉冲发生器 302

A.简单单脉冲发生器 302

B.R-S触发器型单脉冲发生器 303

C.单稳态型单脉冲发生器(一) 303

4.5.2 同步单脉冲发生器 304

A.D触发器型单脉冲发生器(一) 304

D.单稳态(微分)型单脉冲发生器(二) 304

B.D触发器型单脉冲发生器(二) 305

C.D触发器型单脉冲发生器(三) 305

D.D触发器型单脉冲发生器(四) 306

E.J-K触发器型单脉冲发生器(一) 306

F.J-K触发器型单脉冲发生器(二) 307

G.J-K触发器型单脉冲发生器(三) 307

A.两相时钟源 308

B.四相时钟源 308

4.6.1 多相时钟源 308

4.6 多相脉冲源 308

C.N相时钟源 309

4.6.2 节拍脉冲源 309

A.四相节拍脉冲源 309

B.八相节拍脉冲源 310

C.N相节拍脉冲源 310

4.6.3 其它多相脉冲源 311

A.三相脉冲源(一) 311

C.三相脉冲源(三) 312

B.三相脉冲源(二) 312

B.脉冲数可预置的脉冲源(二) 313

A.脉冲数可预置的脉冲源(一) 313

4.7.1 脉冲数可预置的脉冲源 313

4.7 其它脉冲源 313

A.脉冲宽度可预置的单脉冲源(一) 314

4.7.2 脉冲宽度可预置的脉冲源 314

C.脉冲数及脉冲频率可预置的脉冲源 314

B.脉冲宽度可预置的单脉冲源(二) 315

4.7.3 光电耦合器构成的脉冲源 316

A.脉冲前沿延迟电路(一) 317

5.1 脉冲边沿延迟电路 317

第五章 脉冲延迟 317

B.脉冲前沿延迟电路(二) 317

5.1.1 脉冲前沿或后沿延迟电路 317

D.脉冲后沿延迟电路 318

C.脉冲前沿延迟电路(三) 318

E.单稳态触发器型边沿延迟电路(一) 318

F.单稳态触发器型边沿延迟电路(二) 319

B.ECL延迟线 320

5.1.2 脉冲前、后沿延迟电路 320

A.CMOS TTL延迟线 320

C.施密特触发器延迟电路 321

D.微、积分边沿延迟电路 322

5.2 脉冲延迟电路 322

A.TTL(CMOS)逻辑门构成的脉冲延迟电路 322

5.2.1 非可重触发脉冲延迟电路 322

B.ECL逻辑门构成的脉冲延迟电路 323

C.单稳态触发器构成的脉冲延迟电路 323

5.2.2 重触发延迟电路 324

A.上升前沿触发延迟电路 324

B.下降前沿触发延迟电路 324

5.3 数字延迟电路 325

5.3.1 节拍延迟电路 325

A.串入/串出移位寄存型延迟电路 325

B.一字节延迟电路 325

D.计数器/分配器型节拍延迟电路 327

C.一字节并入/并出延迟电路 327

5.3.2 计数、译码型延迟电路 328

A.计数、译码型延迟电路(一) 328

B.计数、译码型延迟电路(二) 328

C.计数、译码型延迟电路(三) 329

5.3.3 分频延迟电路 330

A.固定分频系数延迟电路 330

B.可选分频系数的延迟电路 330

C.可编程序分频器延迟电路74LS292 331

A.比较器定时的延迟电路(一) 332

5.3.4 比较器定时的延迟电路 332

B.比较器定时的延迟电路(二) 333

5.4 长时间延迟 334

5.4.1 长时间常数的延迟电路 334

A.微分型延迟电路 334

B.积分型延迟电路 334

5.4.2 多级级联的延迟电路 335

A.双单稳态触发器级联的延迟电路 335

B.定时器级联的延迟电路 335

5.4.3 读/写存储延迟电路 336

5.5 时钟选通延迟 336

A.组合电路的电平选通延迟电路 336

5.5.1 时钟电平选通延迟电路 336

B.锁存器延迟电路 337

5.5.2 钟脉冲选通延迟电路 338

A.带存储的数据选择器/多路开关 338

B.带使能端的D触发器延迟电路 338

6.1.1 RC脉冲展宽电路 340

A.积分型脉冲展宽电路 340

B.施密特触发型脉冲展宽电路 340

6.1 矩形脉冲变换电路 340

第六章 脉冲波形变换 340

C.微分型脉冲展宽电路 341

D.双RC网络型脉冲展宽电路 342

E.微积分型脉冲展宽电路(一) 343

F.微积分型脉冲展宽电路(二) 343

G.ECL微分型脉冲展宽电路 344

H.R-S触发器脉冲展宽电路 344

I.集成单稳态触发器脉冲展宽电路 345

6.1.2 数控脉冲展宽电路 345

A.数控RC脉冲展宽电路 345

B.计数器/分配器脉冲展宽电路 345

C.数控脉冲展宽电路 346

6.1.3 窄脉冲形成电路 347

D.可编程脉冲展宽电路 347

A.积分型窄脉冲形成电路 347

C.微分型窄脉冲形成电路 348

B.ECL积分型窄脉冲形成电路 348

D.施密特触发器窄脉冲形成电路 349

E.R-S触发器窄脉冲形成电路 349

A.积分变换电路(一) 350

B.积分变换电路(二) 350

6.2.1 积分变换电路 350

6.2 矩形波-锯齿波变换 350

F.J-K触发器窄脉冲形成电路 350

A.权电阻变换电路(一) 351

6.2.2 数/模变换法 351

B.权电阻变换电路(二) 352

C.计数、译码型变换电路 352

D.计数器/分配器波形变换电路 353

E.两路具有固定相位的锯齿波变换电路 354

6.3 方波-三角波变换电路 355

6.3.1 积分变换电路 355

A.积分变换电路(一) 355

B.积分变换电路(二) 356

6.3.2 数/模变换法 356

A.权电阻变换电路(一) 356

B.权电阻变换电路(二) 356

C.乘法型DAC三角波产生电路 357

6.4 方波-其它波形变换 358

6.4.1 方波-正弦波变换 358

A.移位寄存器型方波-正弦波变换电路 358

B.计数器型方波-正弦波变换电路 358

6.4.2 矩形波-抛物波变换 359

A.1位二进制计数器 361

7.1.1 n≤4的异步2n进制计数器 361

7.1 异步n位二进制计数器 361

第七章 计数与分频 361

B.2位二进制(模4)计数器(一) 362

C.2位二进制(模4)计数器(二) 362

D.3位二进制(模8)计数器 363

E.4位二进制(模16)计数器 364

7.1.2 n>4的异步2n进制计数器 364

A.n≤6的2n进制计数器 365

B.n>6的2n进制计数器 365

7.2 异步N进制计数器 366

7.2.1 触发器构成的异步N进制计数器 366

A.D触发器构成的模3、模5计数器 366

器 367

7.2.2 十进制计数器构成的N进制计数器 367

B.J-K触发器构成的模3、模5、模6计数 367

A.模6异步计数器 368

B.模7异步计数器 369

C.模9异步计数器 369

7.2.3 4位二进制异步计数器构成的N进制计数器 370

A.复位法的N进制计数器 370

7.2.4 N≥17的任意进制计数器 371

A.任意进制计数器的级联 371

B.置15法的N进制计数器 371

B.十进制计数器级联复位构成的N进制 372

计数器 372

E.八D透明锁存器(3S)——CC74HC 373

C.4位二进制计数器级联复位构成的N进制计数器 373

7.3 n位二进制同步计数器 374

7.3.1 n≤4的2n进制计数器 374

A.触发器构成的n≤4的2n进制计数器 374

B.4位二进制计数器 375

7.3.2 n>4的2n进制计数器 375

A.串行级联 375

B.行波级联 375

D.门控多级同步级联 376

C.双时钟行波级联 376

E.“行波进位”控制“使能”的同步级联 376

F.片间快速计数的同步级联 377

G.并行进位同步级联 377

H.双时钟并行级联 378

7.4 N进制同步计数器(N≠2n) 378

7.4.1 N<15的同步计数器 378

A.异步复位N进制计数器 378

B.同步复位N进制计数器 379

C.异步LD置0法的N进制计数器 379

D.同步LD置0的N进制计数器 380

F.进位输出置最小数的N进制计数器 381

(控制直接LD) 381

E.进位输出置最小数的N进制计数器(控制同步LD) 381

G.行波(动态)输出QCR置数的N进制 382

计数器 382

H.置最大数的N进制计数器 382

7.4.2 N≥17的N进制计数器 383

A.二进制计数器与触发器级联的N进制计数器 383

B.4位二进制计数器串行级联的N进制 383

计数器 383

A.环形计数分频器 384

7.5 分频器 384

C.“借位输出”控制“使能”级联的N进制计数器 384

7.5.1 移位寄存器型分频器 384

B.分频系数N≤8的偶数分频器 385

C.分频系数N>8的偶数分频器 385

D.分频系数N≤7的奇数分频器 386

E.分频系数N>7的奇数分频器 386

7.5.2 计数器型分频器 387

A.计数器与组合电路构成的分频器 387

7.5.3 编程分频器 388

A.控制预置数的可编程分频器 388

B.计数器与R-S触发器构成的分频器 388

B.控制计数器复位的可编程分频器(一) 389

C.控制计数器复位的可编程分频器(二) 389

C.双十进制异步计数器——54/74LS 390

D.移位寄存器型可编程分频器 390

E.计数器、数据选择器构成的可编程 391

分频器 391

F.EPROM构成的可编程分频器 391

7.5.4 分数分频器 392

A.从某些计数器中取出非整数分频器 392

C.计数分频器构成的N?分频器 394

B.触发器构成的1?分频器 394

D.高精度分数分频 395

8.1 二进制码与格雷码互换 396

8.1.1 二进制码-格雷码变换 396

A.4位二进制码-格雷码变换电路 396

B.n+1位二进制码-格雷码变换电路 396

第八章 码变换 396

B.n+1位格雷码-二进制码变换电路 397

A.4位格雷码-二进制码变换电路 397

8.1.2 格雷码-二进制码变换 397

8.2 实用BCD码的互换 398

8.2.1 8-4-2-1BCD 码与余3码互换 398

A.8-4-2-1BCD码-余3码变换电路 398

B.余3码-8-4-2-1BCD码变换电路 398

C.4位格雷码-串行输出二进制码变换电路 398

8.2.2 8-4-2-1BCD 码-2-4-2-1BCD码 399

变换电路 399

8.3 二进制码与8-4-2-1BCD码互换 400

8.3.1 用计数器进行互换 400

A.8-4-2-1BCD码与二进制码互换电路 400

电路 401

B.8位二进制码-8-4-2-1BCD码变换 401

8.3.2 串行移位变换 402

变换电路(一) 403

A.二进制码-8-4-2-1BCD码串行移位 403

B.二进制码-8-4-2-1 BCD 码串行移位变换电路(二) 404

C.8-4-2-1BCD码-二进制码串行移位 405

变换电路 405

的并行变换电路 406

A.4位二进制码-1位8-4-2-1BCD码 406

8.3.3 并行移位变换 406

并行变换电路 407

-1BCD 码并行移位变换电路 407

B.6位二进制码-2位8-4-2-1BCD码的 407

BCD码变换电路 409

E.用全加器组成的8-4-2-1BCD码- 410

二进制码并行变换电路 410

8.4 原码、反码、补码变换 411

8.4.1 二进制原码、反码、补码变换 411

A.4位二进制原/反码变换电路 411

B.8位二进制原/反码变换电路(一) 411

C.8位二进制原/反码变换电路(二) 412

D.8位二进制反码变换电路 413

E.二进制补码变换电路 413

F.带符号二进制数的求补电路 414

8.4.2 BCD码的原码、反码、补码变换电路 414

A.1位8-4-2-1BCD码的反码(9补)产 414

生电路 414

B.2位8-4-2-1BCD码反码、补码产生 415

电路 415

B.归零码-不归零码变换电路 416

A.不归零码-归零码变换电路 416

8.5.1 归零码与不归零码变换 416

8.5 其它码型变换 416

8.5.2 二电平与四电平变换 417

A.采用权电阻和运放组成的电平变换电路 417

B.采用译码器和运放构成的电平变换电路 417

第九章 序列产生与变换 419

9.1 序列产生 419

9.1.1 M<2n任意循环长度序列码 419

A.M=6的100111序列产生器 420

B.M=3的001序列产生器 421

C.M=10的1101000101序列产生器 421

D.M=6的双序列码产生器 422

E.M=11的巴克码序列产生器 423

F.格雷码产生器 424

9.1.2 M=2n-1最长线性序列码(M序列码) 424

A.M=7的M序列码产生器 425

B.M=15的M序列码产生器 426

C.M=127的M序列码产生器 427

D.M=255的M序列码产生器 427

9.1.3 M=2n最大循环长度序列码 428

A.M=8计数型序列码产生器 428

C.M=16反馈移位型序列码产生器 429

B.M=16计数型序列码产生器 429

9.1.4 可编程序列码 430

A.可编程序列码产生器 430

B.规则序列码产生器 430

C.EPROM序列码产生器 431

D.20路序列信号产生器 432

9.2 序列变换 433

9.2.1 串行-并行变换 433

A.TTL移位型7位串行-并行变换电路 433

B.CMOS移位型7位串行-并行变换电 433

路 433

C.用计数器构成的4位串行-并行变换电路 433

D.8位串行-并行变换电路 434

9.2.2 并行-串行变换 435

A.7位并行输入-串行输出变换电路 435

B.8位并行输入-串行输出变换电路 436

C.16位并行输入-串行输出变换电路 437

D.32位并行输入-串行输出变换电路 438

E.ECL并行输入-串行输出变换电路 439

F.128位并行输入-串行输出变换电路 439

9.2.3 并行-串行-并行变换 439

A.16位并行-串行-并行变换电路(一) 439

B.8位并行-串行-并行变换电路(二) 440

10.1.1 二进制加法运算电路 442

A.串行加法电路 442

B.串行进位的并行加法电路 442

10.1 二进制加减运算电路 442

第十章 运算电路 442

C.超前进位加法电路 443

5.2 带状电缆线 443

D.华莱士树加法电路 444

10.1.2 二进制原码减法运算电路 445

A.1位全减器 445

10.1.3 二进制补码加/减运算电路 446

B.利用算术逻辑运算单元组成的二进制加/减电路 446

A.用全加器构成的二进制补码加/减运算电路 446

C.用CMOS全加器构成的二进制补码加/减运算电路 447

B.用数据选择器和全加器构成的二进制补码加/减电路 447

10.1.4 二进制反码加/减运算电路 448

A.无符号多位二进制数反码加/减运算电路 448

B.带符号位的多位二进制数反码加/减运算电路 449

A.两位8-4-2-1BCD码加法电路 450

B.n位8-4-2-1BCD码减法电路 450

10.2.1 8-4-2-1BCD码加/减运算电路 450

10.2 BCD码加/减运算电路 450

10.2.2 余3码加/减电路 451

C.两位8-4-2-1BCD码加/减电路 451

10.3 乘法运算电路 452

10.3.1 串行乘法电路 453

A.4位×4位串行乘法电路 454

B.两个1位BCD码数相乘电路 455

10.3.2 并行乘法电路 455

A.4位×4位并行乘法电路 456

B.4位×4位并行乘法模块 457

C.8位×8位并行乘法电路 457

E.多位字长的高速乘法阵列 458

D.8位×8位高速乘法电路 458

F.16位×8位高速乘法阵列电路 460

10.3.3 二进制补码乘法运算电路 461

A.8位×8位补码乘法器 463

B.8位×8位高速阵列乘法器 465

10.4 除法运算电路 465

第十一章 数据存取 466

11.1 数据随机存取及处理 466

11.1.1 RAM的存取 466

A.TTLRAM存取电路 466

B.2114RAM存取电路 467

A.计数器——十进制计数器 468

11.1.2 RAM的应用电路 468

B.控制器——四层楼电梯控制时序电路 469

C.信号处理——雷达数据(慢存快取)处理器 469

11.2 只读数据 471

11.2.1 EPROM的编程及读出电路 471

11.2.2 ROM的应用 472

A.逻辑函数——自动售糖机控制逻辑 472

B.码组转换——BCD码转换为余3码及 473

格雷码 473

C.码组转换——7位二进制码转换为BCD码 474

D.数学函数表——正弦函数表 475

E.序列信号发生器 475

F.字符发生器的编程及读出 476

G.汉字库的读出 478

H.微程序控制器 478

11.3 数据顺序存取 480

11.3.1 提高工作频率的顺序存取 480

11.3.2 数据延迟 481

12.1.1 基本型D/A转换器 483

A.锁存器、权电阻网络D/A转换器 483

12.1 数字/模拟转换 483

第十二章 模拟/数字、数字/模拟转换 483

B.OC门、权电阻网络D/A转换器 484

C.模拟开关权电阻网络D/A转换器 484

D.T型(R-2R)电阻网络D/A转换器 484

E.倒T型电阻网络D/A转换器 485

12.1.2 集成通用D/A转换器 485

12.1.3 有与微型计算机连接接口的D/A转换器 487

A.8位有与微型计算机连接接口的D/A转换器——DAC0832 487

B.有与微型计算机连接接口的D/A转换器——AD7224 488

C.有与微型计算机连接接口的D/A转换器——AD7225 488

A.10位CMOS集成D/A转换器 489

——AD7520 489

12.1.4 乘法型D/A转换器 489

B.12位CMOS集成D/A转换器 490

——AD7541 490

C.8位高速乘法D/A转换器 490

——ADDAC08 490

D.ADDAC08的应用 491

12.2 模拟/数字转换 492

12.2.1 双积分A/D转换器 492

A.3?位双积分A/D转换器—— 492

CC14433 492

B.CC14433的典型应用示例——3? 494

C.CC14433与微处理机的连接 494

位数字电压表 494

E.CC7107/CC7106的应用示例——温度计 495

F.4?位双积分A/D转换器——CH259 496

G.CH259用于4?位A/D型数字电压表 497

12.2.2 逐次逼近A/D转换器 498

B.AD571(AD570)与微型计算机的直接连接 499

C.多路A/D转换器——ADC0809 500

D.ADC0809与CPU的典型连接方法 501

12.2.3 高速A/D转换器 501

A.实用并行A/D转换器——电视视频信号的A/D转换 502

B.并/串型A/D转换器 503

13.1 发光二极管(LED)显示电路 504

13.1.1 LED的基本显示驱动电路 504

A.LED的电压源驱动电路 504

第十三章 数字显示 504

B.LED的电流源驱动电路 505

13.1.2 常用LED显示电路 506

A.信号指示电路 506

B.现场监视显示电路 507

C.温度测显电路 507

D.LED扫描显示电路 508

E.环形60s显示电路 508

F.双向移位显示电路 509

G.点线显示驱动器SF3914的应用电路 509

L.十进制同步加/减计数器——CCA 510

A.电压范围指示电路 511

13.1.3 变色LED的应用电路 511

B.电平指示电路 512

C.逻辑功能、液位测试电路 512

D.方波占空比监视电路 513

13.2 LED数码显示电路 513

13.2.1 静态连接的LED数码管显示电路 514

A.直接驱动LED数码管的显示电路 514

B.加接口电路驱动LED数码管的显示电路 514

A.共阳极LED数码管扫描显示电路 515

13.2.2 动态扫描LED数码管的显示电路 515

B.共阴极LED数码管扫描显示电路 516

A.电视频道显示电路 516

B.脉宽比值测显电路 516

13.2.3 LED数码管的实际应用电路 516

C.两路数据选通显示电路 517

D.前位零不显示电路 517

E.实用动态扫描显示电路 518

F.单片机应用系统的静态锁存显示电路 518

G.由8155扩展I/O口控制的显示电路 519

13.2.4 CMOS-LED组合显示器的应用电路 520

H.无效零熄灭的6位数码显示电路 520

B.5位十进制计数显示电路 521

A.4位十进制计数显示电路 521

C.60s计数显示电路 522

D.5位十进制计数的动态扫描显示电路 522

E.输入信号频率测量显示电路 522

F.脉冲周期测量显示电路 522

G.可长期保持CL102中数值的显示电路 523

H.石英数字钟的显示电路 523

13.3 荧光数码显示电路 524

A.4位荧光数码管十进制计数静态显示 526

电路 526

B.直接驱动的荧光数码管计数静态显示电路 526

C.8位荧光数码管的动态扫描显示电路 527

D.3?位BCD码的荧光数码管显示电路 527

E.用自升压电路的荧光数码管显示电路 527

13.4 液晶屏显示电路 528

A.1位十进制数码液晶显示电路 528

B.由显示H”转换为显示‘F’的液晶显示电路 528

C.3?位BCD码的液晶显示电路 529

D.用CC7136驱动的液晶显示电路 529

C.4位二进制码产生电路 531

B.按键码产生电路 531

A.开关量采集录入电路 531

14.1.1 按键信号录入 531

14.1 按键、键盘信号录入 531

第十四章 数据录入 531

D.程序按键开关录入电路 532

14.1.2 键盘信号录入 533

A.8-4-2-IBCD码录入电路 533

B.扫描式键盘编码录入电路 534

C.动态扫描键盘ASCII码编码录入电路 534

D.64键位六单位ASCII码编码录入电路 535

E.4位键盘产生8位数据录入电路 535

F.128双触点键的7位数据录入电路 535

G.16进制霍尔键盘产生4位二进制数据录入电路 536

N.扫描键盘驱动8个频率通道录入电路 536

A.延迟数据录入电路 538

14.2 拨动开关信号录入 538

B.拨盘开关与微型计算机接口录入电路 538

14.3.1 触摸开关信号录入 539

A.单触摸开关信号录入电路 539

14.3 接触开关信号录入 539

B.双触摸开关信号录入电路 540

C.多触摸开关信号录入电路 541

14.3.2 触摸信号录入 542

A.单触片开关信号录入电路 542

B.双触片开关信号录入电路 544

C.10路触摸开关信号录入电路 544

14.3.3 干簧管开关信号录入 545

A.单干簧管开关信号录入电路 545

C.多干簧管开关信号录入电路 546

14.4 光电脉冲录入 546

A.光电二极管脉冲录入电路 546

B.双干簧管开关信号录入电路 546

B.光电三极管脉冲录入电路 547

C.红外线光电脉冲录入电路 547

D.光电耦合器构成的信号录入电路 548

第十五章 脉冲的传送与总线驱动 550

15.1 脉冲传送与匹配 550

15.1.1 传输线 550

A.基本传输线 550

B.开路线传输 550

C.非端接传输线 551

15.1.2 匹配传输 551

A.串联匹配传输(一) 551

B.串联匹配传输(二) 551

C.并联匹配(终端匹配)传输(一) 552

D.并联匹配(终端匹配)传输(二) 553

E.串并联匹配传输 553

15.2.1 单方向传输总线 554

A.三态门驱动总线 554

15.2 总线驱动 554

F.终端接肖特基二极管的传输 554

B.N路数据单方向传输总线 555

C.多路总线单向传输 555

D.并行-串行-并行单向传输 555

E.数据锁存并行单向传输 556

F.ROM并行单向传输 557

G.数据存储并行单向传输 558

15.2.2 双向传输总线 558

A.1位双向传输总线 558

B.多位双向传输总线 558

C.D触发器(锁存器)型双向传输总线 559

D.1位总线寄存器 560

E.8位总线寄存器 560

A.电流激励的T型D/A转换器——AD 561

15.3 开关、继电器及其它二值器件的驱动 561

F.RAM双向数据总线 561

A.开关驱动TTL电路 561

15.3.1 开关驱动电路 561

B.开关驱动ECL电路 562

C.开关经长线驱动CMOS电路 562

D.开关驱动CMOS电路 562

E.光开关驱动CMOS施密特触发器 563

15.3.2 继电器的驱动电路 563

A.干簧继电器的驱动电路 563

A.喇叭的驱动 564

15.3.3 对其它器件的驱动 564

B.电磁继电器的驱动 564

15.4 CMOS双向模拟开关 565

15.4.1 CMOS开关类型及功能 565

B.对可控硅的驱动电路 565

15.4.2 应用举例 567

A.CC4066的基本应用 567

B.四模拟通道选择 567

C.数控电阻网络 568

D.数控放大器增益 568

E.数控电容网络 568

F.数控脉冲源 569

G.权电阻网络数/模转换器 569

第十六章 综合应用电路 570

16.1 数字显示电容测试仪 570

A.逐次逼近型集成A/D转换器——AD 571

16.2 复式刻度波产生器 572

H.八 D型锁存器(三态同相)——CC74HC 573

16.3 简易逻辑分析仪 574

16.4 二进制补码乘法运算电路 577

16.5 数字钟 580

16.6 多用数字测量仪 583

16.7 数字频率计 584

16.8 数字频率合成器 587

16.9 出租汽车自动计价表 591

16.10 简易晶体管特性曲线测试仪 592

附录Ⅰ 常用元、器件及导线 595

1.常用线性元件 595

1.1 电阻器 595

1.1.1 电阻器型号组成部分的代号及意义(GB82470-81) 595

1.1.2 常用电阻器系列 595

1.1.3 电阻包——几个电阻集在一个封装内的电阻 597

1.2 电位器 598

1.3 电容器 599

1.3.1 电容器型号组成部分的代号及意义(GB2471-81) 599

1.3.2 常用电容器系列 599

1.3.3 常用电解电容器 600

1.3.4 根据用途选择固定电容器 601

1.4 电感器 602

1.4.1 电感线圈的型号命名方法 602

1.4.2 小型电感器系列 603

2.常用显示器件 603

2.1 发光二极管 603

2.1.1 常用发光二极管 603

2.1.2 常用红外发光二极管 607

2.1.3 发光二极管电平指示器 608

2.2 数码管和符号管 609

2.2.1 常用LED数码管和符号管的型号及主要参数 609

2.2.2 LED时间显示板 611

2.3 液晶显示器件 612

2.4 荧光数码管 614

2.5 辉光数码管 617

3.常用开关器件 620

3.1 机械开关 620

3.1.1 常用电源开关 620

3.1.2 滑动转换开关 622

3.1.3 按键开关和键盘 623

3.2.1 CMOS集成模拟开关 624

3.2 电子开关 624

3.2.2 光电开关 624

3.3.1 继电器基本型号命名方法和触点符号 627

3.3 数字电路常用继电器 627

3.3.2 常用继电器 628

4.常用接插器件 632

4.1 集成电路芯片插座 632

4.1.1 公制集成电路插座 632

4.1.2 英制集成电路插座 632

4.2.1 CY251型印刷电路板连接器 633

4.2 印刷电路板连接器 633

4.2.2 CY2型印刷电路板连接器 634

4.2.3 CY4型印刷电路板连接器 635

4.2.4 CY5型印刷电路板连接器 636

4.3 组件间连接器 636

4.3.1 CDI型连接器 636

4.3.2 CS型连接器 637

4.4 带状电缆连接器 638

4.4.1 DC2型带状电缆连接器 638

4.4.2 DC3型双列直插式带状电缆连接器 640

4.4.3 DC4型四列式带状电缆连接器 640

4.4.4 DC5型带状电缆印刷电路板边缘连接器 641

5.常用导线与电缆线 642

5.1 常用导线 642

6.国产覆铜板 645

附录Ⅱ 国内外主要电子厂家名称及标志 646

1.国外电子厂家名称及标志 646

2.国内电子厂家名称及标志 648

附录Ⅲ 数字集成电路系列品种检索表 650

1.TTL集成电路系列品种检索表 650

2.TTL存储器检索表 654

3.ECL集成电路系列品种检索表 655

4.CMOS集成电路系列品种检索表 657

5.高速CMOS集成电路系列品种检索表 659

附录Ⅳ 逻辑单元图形符号对照表 662

1.组合单元 662

2.缓冲器、驱动器和线接收器 665

3.编码器、代码转换器及电平转换器 665

4.数据选择器 667

5.算术单元 668

6.双稳单元(部分示例) 669

7.移位寄存器和计数器(部分示例) 671

参考文献 673

B.电流激励的T型D/A转换器——DAC 808

J.高速D型主-从触发器——CE 1615

A.双2输入异或门——CE 1616

B.三2输入异或门——CE 1672

D.二-五-十进制加法计数器——CE 1678

K.高速D型主-从触发器——CE 1690

C.4位超前进位二进制全加器——CC 4008

C.8位静态移位寄存器——CC4014、CC 4021

E.双十进制同步计数器——CC 4518

H.2-N-40进制减法计数器——CC 4522

D.3?位双积分A/D转换器——CC 7107

M.双J-K主-从触发器——CE 10135

D.4位2选1数据选择器——CE 10158

E.8线-3线优先编码器——CE 10165

C.4位2选1数据选择器——CE 10173

P.六D型主-从触发器——CE 10176

F.十进制同步计数器——CC 40160

G.4位二进制同步计数器——CC 40163

N.十进制同步加/减计数器——CC 40192

4位二进制同步加/减计数器——CC 40193

4.FIFO寄存器——C5/67401、C5/ 67402

D.可重触发单稳态触发器——54/ 74122

返回顶部